From ac1c4435a6e4f6fbe9865f619afd0663c9e47b30 Mon Sep 17 00:00:00 2001 From: O Date: Tue, 3 Sep 2024 13:56:33 +0000 Subject: [PATCH] =?UTF-8?q?=E1=97=BA=D0=98.=E2=9A=AA=D0=98N=E2=93=84?= =?UTF-8?q?=E1=94=93=E1=94=95=EA=96=B4=E1=B4=A5=E1=97=A9=DF=A6=E1=99=8F?= =?UTF-8?q?=E2=93=84=E1=91=90=E1=91=95=E2=97=AF=E1=97=9D=EA=96=B4=E2=93=84?= =?UTF-8?q?=E1=99=8F=E1=95=A4=E1=95=A6=EA=96=B4=E1=94=93=E1=94=95=E2=97=AF?= =?UTF-8?q?=E2=93=84=E1=B4=A5=E1=97=A9=E1=94=93=E1=94=95=E1=97=B1=E1=97=B4?= =?UTF-8?q?=E1=91=90=E1=91=95=E2=9A=AA=E2=97=8C=E2=9A=AA=E2=97=8C=E2=9A=AA?= =?UTF-8?q?=E2=97=8C=E2=9A=AA=E2=97=8C=E2=9A=AA=E2=97=8C=E2=9A=AA=E2=97=8C?= =?UTF-8?q?=E2=9A=AA=E1=91=90=E1=91=95=E1=97=B1=E1=97=B4=E1=94=93=E1=94=95?= =?UTF-8?q?=E1=97=A9=E1=B4=A5=E2=93=84=E2=97=AF=E1=94=93=E1=94=95=EA=96=B4?= =?UTF-8?q?=E1=95=A4=E1=95=A6=E1=99=8F=E2=93=84=EA=96=B4=E1=97=9D=E2=97=AF?= =?UTF-8?q?=E1=91=90=E1=91=95=E2=93=84=E1=99=8F=DF=A6=E1=97=A9=E1=B4=A5?= =?UTF-8?q?=EA=96=B4=E1=94=93=E1=94=95=E2=93=84=D0=98N=E2=9A=AA.NB?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit ᗺИ.⚪ИN⚪Ⓞ⚪ᔓᔕ⚪ꖴ⚪ᴥ⚪ᗩ⚪ߦ⚪ᙏ⚪Ⓞ⚪ᑐᑕ⚪◯⚪ᗝ⚪ꖴ⚪Ⓞ⚪ᙏ⚪ᕤᕦ⚪ꖴ⚪ᔓᔕ⚪◯⚪Ⓞ⚪ᴥ⚪ᗩ⚪ᔓᔕ⚪ᗱᗴ⚪ᑐᑕ⚪◌⚪◌⚪◌⚪◌⚪◌⚪◌⚪ᑐᑕ⚪ᗱᗴ⚪ᔓᔕ⚪ᗩ⚪ᴥ⚪Ⓞ⚪◯⚪ᔓᔕ⚪ꖴ⚪ᕤᕦ⚪ᙏ⚪Ⓞ⚪ꖴ⚪ᗝ⚪◯⚪ᑐᑕ⚪Ⓞ⚪ᙏ⚪ߦ⚪ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB --- ...ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB | 5980 +++++++++++++++++ 1 file changed, 5980 insertions(+) create mode 100644 𖣠⚪∣❁∣ᙁᑐᑕ∣옷⚪𔗢⚪🞋⚪𔗢⚪옷∣ᑐᑕᙁ∣❁∣⚪𖣠/𖣠⚪ᕤᕦИNꖴ✤ᑎߦᙏⓄᑐᑕ⚪𔗢⚪🞋⚪𔗢⚪ᑐᑕⓄᙏߦᑎ✤ꖴИNᕤᕦ⚪𖣠/𖣠⚪ᗱᗴᴥᗩᗯ✤ꗳⓄᔓᔕ⚪𔗢⚪🞋⚪𔗢⚪ᔓᔕⓄꗳ✤ᗯᗩᴥᗱᗴ⚪𖣠/𖣠⚪ᙏᗩᴥꗳᙁⓄᗯ⚪𔗢⚪🞋⚪𔗢⚪ᗯⓄᙁꗳᴥᗩᙏ⚪𖣠/𖣠⚪ᗩᑐᑕꖴ✤ᗩᙏᗱᗴ옷✤ᗩᙏ⚪𔗢⚪🞋⚪𔗢⚪ᙏᗩ✤옷ᗱᗴᙏᗩ✤ꖴᑐᑕᗩ⚪𖣠/ᗺИ.⚪ИN⚪Ⓞ⚪ᔓᔕ⚪ꖴ⚪ᴥ⚪ᗩ⚪ߦ⚪ᙏ⚪Ⓞ⚪ᑐᑕ⚪◯⚪ᗝ⚪ꖴ⚪Ⓞ⚪ᙏ⚪ᕤᕦ⚪ꖴ⚪ᔓᔕ⚪◯⚪Ⓞ⚪ᴥ⚪ᗩ⚪ᔓᔕ⚪ᗱᗴ⚪ᑐᑕ⚪◌⚪◌⚪◌⚪◌⚪◌⚪◌⚪ᑐᑕ⚪ᗱᗴ⚪ᔓᔕ⚪ᗩ⚪ᴥ⚪Ⓞ⚪◯⚪ᔓᔕ⚪ꖴ⚪ᕤᕦ⚪ᙏ⚪Ⓞ⚪ꖴ⚪ᗝ⚪◯⚪ᑐᑕ⚪Ⓞ⚪ᙏ⚪ߦ⚪ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB diff --git a/𖣠⚪∣❁∣ᙁᑐᑕ∣옷⚪𔗢⚪🞋⚪𔗢⚪옷∣ᑐᑕᙁ∣❁∣⚪𖣠/𖣠⚪ᕤᕦИNꖴ✤ᑎߦᙏⓄᑐᑕ⚪𔗢⚪🞋⚪𔗢⚪ᑐᑕⓄᙏߦᑎ✤ꖴИNᕤᕦ⚪𖣠/𖣠⚪ᗱᗴᴥᗩᗯ✤ꗳⓄᔓᔕ⚪𔗢⚪🞋⚪𔗢⚪ᔓᔕⓄꗳ✤ᗯᗩᴥᗱᗴ⚪𖣠/𖣠⚪ᙏᗩᴥꗳᙁⓄᗯ⚪𔗢⚪🞋⚪𔗢⚪ᗯⓄᙁꗳᴥᗩᙏ⚪𖣠/𖣠⚪ᗩᑐᑕꖴ✤ᗩᙏᗱᗴ옷✤ᗩᙏ⚪𔗢⚪🞋⚪𔗢⚪ᙏᗩ✤옷ᗱᗴᙏᗩ✤ꖴᑐᑕᗩ⚪𖣠/ᗺИ.⚪ИN⚪Ⓞ⚪ᔓᔕ⚪ꖴ⚪ᴥ⚪ᗩ⚪ߦ⚪ᙏ⚪Ⓞ⚪ᑐᑕ⚪◯⚪ᗝ⚪ꖴ⚪Ⓞ⚪ᙏ⚪ᕤᕦ⚪ꖴ⚪ᔓᔕ⚪◯⚪Ⓞ⚪ᴥ⚪ᗩ⚪ᔓᔕ⚪ᗱᗴ⚪ᑐᑕ⚪◌⚪◌⚪◌⚪◌⚪◌⚪◌⚪ᑐᑕ⚪ᗱᗴ⚪ᔓᔕ⚪ᗩ⚪ᴥ⚪Ⓞ⚪◯⚪ᔓᔕ⚪ꖴ⚪ᕤᕦ⚪ᙏ⚪Ⓞ⚪ꖴ⚪ᗝ⚪◯⚪ᑐᑕ⚪Ⓞ⚪ᙏ⚪ߦ⚪ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB b/𖣠⚪∣❁∣ᙁᑐᑕ∣옷⚪𔗢⚪🞋⚪𔗢⚪옷∣ᑐᑕᙁ∣❁∣⚪𖣠/𖣠⚪ᕤᕦИNꖴ✤ᑎߦᙏⓄᑐᑕ⚪𔗢⚪🞋⚪𔗢⚪ᑐᑕⓄᙏߦᑎ✤ꖴИNᕤᕦ⚪𖣠/𖣠⚪ᗱᗴᴥᗩᗯ✤ꗳⓄᔓᔕ⚪𔗢⚪🞋⚪𔗢⚪ᔓᔕⓄꗳ✤ᗯᗩᴥᗱᗴ⚪𖣠/𖣠⚪ᙏᗩᴥꗳᙁⓄᗯ⚪𔗢⚪🞋⚪𔗢⚪ᗯⓄᙁꗳᴥᗩᙏ⚪𖣠/𖣠⚪ᗩᑐᑕꖴ✤ᗩᙏᗱᗴ옷✤ᗩᙏ⚪𔗢⚪🞋⚪𔗢⚪ᙏᗩ✤옷ᗱᗴᙏᗩ✤ꖴᑐᑕᗩ⚪𖣠/ᗺИ.⚪ИN⚪Ⓞ⚪ᔓᔕ⚪ꖴ⚪ᴥ⚪ᗩ⚪ߦ⚪ᙏ⚪Ⓞ⚪ᑐᑕ⚪◯⚪ᗝ⚪ꖴ⚪Ⓞ⚪ᙏ⚪ᕤᕦ⚪ꖴ⚪ᔓᔕ⚪◯⚪Ⓞ⚪ᴥ⚪ᗩ⚪ᔓᔕ⚪ᗱᗴ⚪ᑐᑕ⚪◌⚪◌⚪◌⚪◌⚪◌⚪◌⚪ᑐᑕ⚪ᗱᗴ⚪ᔓᔕ⚪ᗩ⚪ᴥ⚪Ⓞ⚪◯⚪ᔓᔕ⚪ꖴ⚪ᕤᕦ⚪ᙏ⚪Ⓞ⚪ꖴ⚪ᗝ⚪◯⚪ᑐᑕ⚪Ⓞ⚪ᙏ⚪ߦ⚪ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB new file mode 100644 index 00000000..0b4f0c23 --- /dev/null +++ b/𖣠⚪∣❁∣ᙁᑐᑕ∣옷⚪𔗢⚪🞋⚪𔗢⚪옷∣ᑐᑕᙁ∣❁∣⚪𖣠/𖣠⚪ᕤᕦИNꖴ✤ᑎߦᙏⓄᑐᑕ⚪𔗢⚪🞋⚪𔗢⚪ᑐᑕⓄᙏߦᑎ✤ꖴИNᕤᕦ⚪𖣠/𖣠⚪ᗱᗴᴥᗩᗯ✤ꗳⓄᔓᔕ⚪𔗢⚪🞋⚪𔗢⚪ᔓᔕⓄꗳ✤ᗯᗩᴥᗱᗴ⚪𖣠/𖣠⚪ᙏᗩᴥꗳᙁⓄᗯ⚪𔗢⚪🞋⚪𔗢⚪ᗯⓄᙁꗳᴥᗩᙏ⚪𖣠/𖣠⚪ᗩᑐᑕꖴ✤ᗩᙏᗱᗴ옷✤ᗩᙏ⚪𔗢⚪🞋⚪𔗢⚪ᙏᗩ✤옷ᗱᗴᙏᗩ✤ꖴᑐᑕᗩ⚪𖣠/ᗺИ.⚪ИN⚪Ⓞ⚪ᔓᔕ⚪ꖴ⚪ᴥ⚪ᗩ⚪ߦ⚪ᙏ⚪Ⓞ⚪ᑐᑕ⚪◯⚪ᗝ⚪ꖴ⚪Ⓞ⚪ᙏ⚪ᕤᕦ⚪ꖴ⚪ᔓᔕ⚪◯⚪Ⓞ⚪ᴥ⚪ᗩ⚪ᔓᔕ⚪ᗱᗴ⚪ᑐᑕ⚪◌⚪◌⚪◌⚪◌⚪◌⚪◌⚪ᑐᑕ⚪ᗱᗴ⚪ᔓᔕ⚪ᗩ⚪ᴥ⚪Ⓞ⚪◯⚪ᔓᔕ⚪ꖴ⚪ᕤᕦ⚪ᙏ⚪Ⓞ⚪ꖴ⚪ᗝ⚪◯⚪ᑐᑕ⚪Ⓞ⚪ᙏ⚪ߦ⚪ᗩ⚪ᴥ⚪ꖴ⚪ᔓᔕ⚪Ⓞ⚪ИN⚪.NB @@ -0,0 +1,5980 @@ +(* Content-type: application/vnd.wolfram.mathematica *) + +(*** Wolfram Notebook File ***) +(* http://www.wolfram.com/nb *) + +(* CreatedBy='Mathematica 12.2' *) + +(*CacheID: 234*) +(* Internal cache information: +NotebookFileLineBreakTest +NotebookFileLineBreakTest +NotebookDataPosition[ 158, 7] +NotebookDataLength[ 341489, 5972] +NotebookOptionsPosition[ 340003, 5937] +NotebookOutlinePosition[ 340940, 5963] +CellTagsIndexPosition[ 340897, 5960] +WindowFrame->Normal*) + +(* Beginning of Notebook Content *) +Notebook[{ + +Cell[CellGroupData[{ +Cell[BoxData[{ + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:15e9\:26aa\:0418N\:26aa\:4eba\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\ +\:25ef\:26aa\:15f1\:15f4\:26aa\:15dd\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:15e9\ +\:26aa\:a5b4\:26aa\:1d25\:26aa\:15e9\:26aa\:25ef\:26aa\:0418N\:26aa\:15e9\ +\:26aa\:144e\:26aa\:148d\:1490\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:148d\:1490\:26aa\:144e\:26aa\:15e9\:26aa\:0418\ +N\:26aa\:25ef\:26aa\:15e9\:26aa\:1d25\:26aa\:a5b4\:26aa\:15e9\:26aa\:1513\ +\:1515\:26aa\:25ef\:26aa\:15dd\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\:26aa\ +\:15f1\:15f4\:26aa\:4eba\:26aa\:0418N\:26aa\:15e9\:26aa", "[", "0", "]"}], + "=", "1"}], ";"}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:15e9\:26aa\:0418N\:26aa\:4eba\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\ +\:25ef\:26aa\:15f1\:15f4\:26aa\:15dd\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:15e9\ +\:26aa\:a5b4\:26aa\:1d25\:26aa\:15e9\:26aa\:25ef\:26aa\:0418N\:26aa\:15e9\ +\:26aa\:144e\:26aa\:148d\:1490\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:148d\:1490\:26aa\:144e\:26aa\:15e9\:26aa\:0418\ +N\:26aa\:25ef\:26aa\:15e9\:26aa\:1d25\:26aa\:a5b4\:26aa\:15e9\:26aa\:1513\ +\:1515\:26aa\:25ef\:26aa\:15dd\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\:26aa\ +\:15f1\:15f4\:26aa\:4eba\:26aa\:0418N\:26aa\:15e9\:26aa", "[", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:0418N\:26aa_Integer", "?", "Positive"}], "]"}], ":=", + RowBox[{ + RowBox[{ + "\:26aa\:15e9\:26aa\:0418N\:26aa\:4eba\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\ +\:25ef\:26aa\:15f1\:15f4\:26aa\:15dd\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:15e9\ +\:26aa\:a5b4\:26aa\:1d25\:26aa\:15e9\:26aa\:25ef\:26aa\:0418N\:26aa\:15e9\ +\:26aa\:144e\:26aa\:148d\:1490\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:148d\:1490\:26aa\:144e\:26aa\:15e9\:26aa\:0418\ +N\:26aa\:25ef\:26aa\:15e9\:26aa\:1d25\:26aa\:a5b4\:26aa\:15e9\:26aa\:1513\ +\:1515\:26aa\:25ef\:26aa\:15dd\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\:26aa\ +\:15f1\:15f4\:26aa\:4eba\:26aa\:0418N\:26aa\:15e9\:26aa", "[", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "]"}], "=", + RowBox[{ + RowBox[{"Sum", "[", + RowBox[{ + RowBox[{ + RowBox[{"2", "^", + RowBox[{"(", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{ + "\:26aa\:273b\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:273b\:26aa", " ", + RowBox[{"(", + RowBox[{ + "\:26aa\:273b\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:273b\:26aa", "-", "1"}], ")"}]}], "-", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", " ", + RowBox[{"(", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "-", "1"}], ")"}]}]}], ")"}], + "/", "2"}], ")"}]}], " ", + RowBox[{ + RowBox[{ + "\:26aa\:15e9\:26aa\:0418N\:26aa\:4eba\:26aa\:15f1\:15f4\:26aa\:1d25\ +\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15dd\:26aa\:25ef\:26aa\:1513\:1515\:26aa\ +\:15e9\:26aa\:a5b4\:26aa\:1d25\:26aa\:15e9\:26aa\:25ef\:26aa\:0418N\:26aa\ +\:15e9\:26aa\:144e\:26aa\:148d\:1490\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:148d\:1490\:26aa\:144e\:26aa\:15e9\:26aa\ +\:0418N\:26aa\:25ef\:26aa\:15e9\:26aa\:1d25\:26aa\:a5b4\:26aa\:15e9\:26aa\ +\:1513\:1515\:26aa\:25ef\:26aa\:15dd\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\ +\:26aa\:15f1\:15f4\:26aa\:4eba\:26aa\:0418N\:26aa\:15e9\:26aa", "[", + "\:26aa\:273b\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:273b\:26aa", "]"}], "/", + RowBox[{ + RowBox[{"(", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "-", + "\:26aa\:273b\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:273b\:26aa", "+", "1"}], ")"}], "!"}]}]}], + ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:273b\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:273b\:26aa", ",", "0", ",", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "-", "1"}]}], "}"}]}], "]"}], "/", + RowBox[{"(", + RowBox[{ + RowBox[{ + "2", "^", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa"}], "-", "1"}], ")"}]}]}]}], + ";"}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\:26aa\ +\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\ +\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25ef\:26aa\:a5b4\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\ +\:26aa\:25ef\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\:a5b4\:26aa\:144e\:26aa\ +\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\:144e\:26aa\:0418N\:26aa\:1450\ +\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\:0418N\:26aa", "[", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a564\:26aa_", "]"}], ":=", + RowBox[{"Module", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:1450\:1455\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:07e6\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:1d25\ +\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:24c4\:26aa\:0418N\:26aa", "=", + RowBox[{ + "Precision", "[", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "]"}]}], ",", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", ",", + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", ",", + "\:26aa\[Currency]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Currency]\:26aa", ",", + "\:26aa\:1513\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1513\:1515\:26aa", ",", + "\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:0418N\:26aa\:15e9\:26aa\ +\:1d25\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:24c4\ +\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15e9\:26aa\:0418N\:26aa\ +\:1450\:1455\:26aa\:15f1\:15f4\:26aa", ",", + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", ",", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", ",", + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa"}], "}"}], ",", + RowBox[{ + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "<", "0"}], ",", + RowBox[{"Return", "[", + RowBox[{"0", ",", "Module"}], "]"}]}], "]"}], ";", + RowBox[{ + "\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:0418N\:26aa\:15e9\:26aa\ +\:1d25\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:24c4\ +\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15e9\:26aa\:0418N\:26aa\ +\:1450\:1455\:26aa\:15f1\:15f4\:26aa", "=", + RowBox[{"10", "^", + RowBox[{"(", + RowBox[{ + "-", "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\ +\:a5b4\:26aa\:1450\:1455\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:07e6\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\ +\:1d25\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\ +\:a5b4\:26aa\:24c4\:26aa\:0418N\:26aa"}], ")"}]}]}], ";", + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", "=", + RowBox[{"SetPrecision", "[", + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", ",", "Infinity"}], "]"}]}], ";", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1513\:1515\:26aa", "=", "1"}], ";", + RowBox[{ + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "=", "0"}], ";", + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", "=", + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + "0", "\[LessEqual]", + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", "\[LessEqual]", "2"}], ",", + RowBox[{"1", "-", + RowBox[{"Abs", "[", + RowBox[{ + "1", "-", + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa"}], "]"}]}], ",", + RowBox[{ + RowBox[{ + "\:26aa\[Currency]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Currency]\:26aa", "=", + RowBox[{"Quotient", "[", + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", ",", "2"}], "]"}]}], ";", + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "ThueMorse", "[", + "\:26aa\[Currency]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\[Currency]\:26aa", "]"}], "\[Equal]", + "1"}], ",", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:1513\:1515\:26aa", "=", + RowBox[{"-", "1"}]}]}], "]"}], ";", + RowBox[{"1", "-", + RowBox[{"Abs", "[", + RowBox[{ + "1", "-", + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", "+", + RowBox[{ + "2", " ", + "\:26aa\[Currency]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\[Currency]\:26aa"}]}], "]"}]}]}]}], + "]"}]}], ";", + RowBox[{"While", "[", + RowBox[{ + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", ">", "0"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "=", + RowBox[{"-", + RowBox[{"Floor", "[", + RowBox[{"RealExponent", "[", + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", ",", "2"}], "]"}], "]"}]}]}], + ";", + RowBox[{ + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", "=", + RowBox[{ + "2", "^", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa"}]}], ";", + RowBox[{ + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", "-=", + RowBox[{ + "1", "/", + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa"}]}], ";", + RowBox[{ + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", "=", "1"}], ";", "\[IndentingNewLine]", + RowBox[{"Do", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", "=", + RowBox[{ + RowBox[{ + "\:26aa\:15e9\:26aa\:0418N\:26aa\:4eba\:26aa\:15f1\:15f4\:26aa\ +\:1d25\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15dd\:26aa\:25ef\:26aa\:1513\:1515\ +\:26aa\:15e9\:26aa\:a5b4\:26aa\:1d25\:26aa\:15e9\:26aa\:25ef\:26aa\:0418N\ +\:26aa\:15e9\:26aa\:144e\:26aa\:148d\:1490\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:148d\:1490\:26aa\:144e\:26aa\:15e9\ +\:26aa\:0418N\:26aa\:25ef\:26aa\:15e9\:26aa\:1d25\:26aa\:a5b4\:26aa\:15e9\ +\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:15dd\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\ +\:1d25\:26aa\:15f1\:15f4\:26aa\:4eba\:26aa\:0418N\:26aa\:15e9\:26aa", "[", + "\:26aa\:164f\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:164f\:26aa", "]"}], "+", + RowBox[{ + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", " ", + "\:26aa\:2d35\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2d35\:26aa", " ", + RowBox[{ + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", "/", + RowBox[{"(", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "-", + "\:26aa\:164f\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:164f\:26aa", "+", "1"}], ")"}]}]}]}]}], + ";", + RowBox[{ + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", "/=", "2"}]}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:164f\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:164f\:26aa", ",", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa"}], "}"}]}], "]"}], ";", + RowBox[{ + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "=", + RowBox[{ + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", "-", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa"}]}], ";", "\[IndentingNewLine]", + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "Abs", "[", + "\:26aa\:15ef\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:15ef\:26aa", "]"}], "<", + RowBox[{ + RowBox[{ + "Abs", "[", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "]"}], " ", + "\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:0418N\:26aa\:15e9\ +\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\ +\:24c4\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15e9\:26aa\:0418N\ +\:26aa\:1450\:1455\:26aa\:15f1\:15f4\:26aa"}]}], ",", + RowBox[{"Break", "[", "]"}]}], "]"}]}]}], "]"}], ";", + RowBox[{"SetPrecision", "[", + RowBox[{ + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1513\:1515\:26aa", " ", + RowBox[{ + "Abs", "[", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "]"}]}], ",", + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:1450\:1455\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:07e6\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:1d25\ +\:26aa\:15f1\:15f4\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:24c4\:26aa\:0418N\:26aa"}], "]"}]}]}], "]"}]}], ";", + RowBox[{ + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\:26aa\ +\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\ +\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\ +\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\:144e\ +\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa", "[", "Infinity", "]"}], "=", + RowBox[{"Interval", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}], ";"}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\:26aa\ +\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\ +\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\ +\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\:144e\ +\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa", "[", + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a564\:26aa_", "?", "NumberQ"}], "]"}], "/;", + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "Im", "[", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "]"}], "\[Equal]", "0"}], ",", + RowBox[{"TrueQ", "[", + RowBox[{ + RowBox[{ + RowBox[{"Composition", "[", + RowBox[{ + RowBox[{ + RowBox[{"BitAnd", "[", + RowBox[{"#", ",", + RowBox[{"#", "-", "1"}]}], "]"}], "&"}], ",", "Denominator"}], + "]"}], "[", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "]"}], "\[Equal]", "0"}], "]"}], ",", + "False"}], "]"}]}], ":=", + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\:26aa\ +\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\ +\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25ef\:26aa\:a5b4\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\ +\:26aa\:25ef\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\:a5b4\:26aa\:144e\:26aa\ +\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\:144e\:26aa\:0418N\:26aa\:1450\ +\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\:0418N\:26aa", "[", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a564\:26aa", "]"}]}], ";"}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + "Derivative", "[", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa_Integer", "]"}], "[", + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\:26aa\ +\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\ +\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\ +\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\:144e\ +\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa", "]"}], ":=", + RowBox[{ + RowBox[{ + RowBox[{"2", "^", + RowBox[{"(", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", " ", + RowBox[{ + RowBox[{"(", + RowBox[{ + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa", "+", "1"}], ")"}], "/", "2"}]}], + ")"}]}], " ", + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\ +\:26aa\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\ +\:144e\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\ +\:26ad\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\ +\:144e\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\ +\:26aa\:0418N\:26aa", "[", + RowBox[{ + RowBox[{ + "2", "^", + "\:26aa\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:0418N\:26aa"}], " ", "#"}], "]"}]}], "&"}]}], + ";"}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"SetAttributes", "[", + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\ +\:26aa\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\ +\:144e\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\ +\:26ad\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\ +\:144e\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\ +\:26aa\:0418N\:26aa", ",", + RowBox[{"{", + RowBox[{"NumericFunction", ",", "Listable"}], "}"}]}], "]"}], ";"}], "//", + "Timing"}], "//", "AbsoluteTiming"}]}], "Input", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + FontWeight->"Normal", + CellLabel-> + "9/3/24 16:54:31 \ +In[5]:=",ExpressionUUID->"e1d2173c-3d88-4c33-b117-53151b3c38ff"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.000028480518406683427`", ",", + RowBox[{"{", + RowBox[{"0.`", ",", "Null"}], "}"}]}], "}"}]], "Output", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + CellLabel-> + "9/3/24 16:54:31 \ +Out[10]=",ExpressionUUID->"8b277e6e-7952-4d02-a55b-d4a084a68343"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{"ClearAll", "[", + RowBox[{ + "\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:07e6\:26aa\:1641\:26aa\:15f1\:15f4\ +\:26aa\:c637\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\ +\:25ef\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\ +\:15ef\:26aa\:1d25\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:a5b4\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\ +\:26aa\:25ef\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\:26aa\:15e9\ +\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:07e6\ +\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25ef\:26aa\:c637\:26aa\:15f1\:15f4\ +\:26aa\:1641\:26aa\:07e6\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa", ",", + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\:26aa\:15f1\ +\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\:15ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa"}], "]"}], "\n", + RowBox[{ + RowBox[{ + "\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:07e6\:26aa\:1641\:26aa\:15f1\:15f4\ +\:26aa\:c637\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\ +\:25ef\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\ +\:15ef\:26aa\:1d25\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:a5b4\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\ +\:26aa\:25ef\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\:26aa\:15e9\ +\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:07e6\ +\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25ef\:26aa\:c637\:26aa\:15f1\:15f4\ +\:26aa\:1641\:26aa\:07e6\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa", "[", + RowBox[{ + RowBox[{ + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a5f3\:26aa_", "?", + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"Head", "[", "#", "]"}], "=!=", "List"}], "&"}], ")"}]}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:2724\:26aa_", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\ +\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa_", + ",", "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\:26aa\ +\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa_"}], + "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:2d54\:26aa\:25ef\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a564\:26aa\:25ef\:26aa\:2d54\ +\:26aa_", ",", + "\:26aa\:2d54\:26aa\:25ef\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa\:25ef\:26aa\:2d54\ +\:26aa_"}], "}"}], ",", + "\:26aa\:2d54\:26aa\:25ef\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa\:25ef\:26aa\ +\:2d54\:26aa_"}], "}"}], ",", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\ +\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa\:1513\:1515\:26aa", ":", + RowBox[{"OptionsPattern", "[", "]"}]}]}], "]"}], ":=", + RowBox[{"Module", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\:1513\:1515\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:1513\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa", + ",", "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", ",", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", ",", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", ",", + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa"}], "}"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\:1513\:1515\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:1513\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa", + "=", + RowBox[{"NDSolve", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", "'"}], "[", + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", "]"}], "\[Equal]", + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa"}], ",", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "'"}], "[", + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", "]"}], "\[Equal]", + RowBox[{"Cos", "[", + RowBox[{ + "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", "[", + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", "]"}], "]"}]}], ",", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "'"}], "[", + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", "]"}], "\[Equal]", + RowBox[{"Sin", "[", + RowBox[{ + "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", "[", + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", "]"}], "]"}]}], ",", + RowBox[{ + RowBox[{ + "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", "[", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\ +\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa", "]"}], "\[Equal]", + "\:26aa\:2d54\:26aa\:25ef\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa\:25ef\ +\:26aa\:2d54\:26aa"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "[", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\ +\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa", "]"}], "\[Equal]", + "\:26aa\:2d54\:26aa\:25ef\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a564\:26aa\:25ef\:26aa\:2d54\ +\:26aa"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "[", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\ +\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa", "]"}], "\[Equal]", + "\:26aa\:2d54\:26aa\:25ef\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa\:25ef\:26aa\:2d54\ +\:26aa"}]}], "}"}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", ",", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\ +\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa", + ",", "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\ +\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\ +"}], "}"}], ",", + "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\ +\:26aa\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\ +\:26aa\:0418N\:26aa\:1513\:1515\:26aa"}], "]"}]}], ";", "\[IndentingNewLine]", + RowBox[{ + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa", "=", + RowBox[{ + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", "[", "#", "]"}], ",", + RowBox[{ + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", "[", "#", "]"}]}], "}"}], "&"}], "/.", + RowBox[{ + "First", "[", + "\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\:1513\ +\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:1513\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\ +", "]"}]}]}], ";", "\[IndentingNewLine]", + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa"}]}], "]"}]}], "\n", + RowBox[{ + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\:26aa\:15f1\ +\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\:15ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa", "[", + RowBox[{ + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a5f3\:26aa_", ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:2724\:26aa_", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\ +\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa_", + ",", "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\:26aa\ +\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa_"}], + "}"}], ",", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\ +\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa\:1513\:1515\:26aa", ":", + RowBox[{"OptionsPattern", "[", "]"}]}]}], "]"}], ":=", + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\:26aa\:15f1\ +\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\:15ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa", "[", + RowBox[{ + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:a5f3\:26aa", ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:2724\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\ +\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa", + ",", "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\:26aa\ +\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa"}], + "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"0", ",", "0"}], "}"}], ",", "0"}], "}"}], ",", + "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\ +\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\:26aa\ +\:0418N\:26aa\:1513\:1515\:26aa"}], "]"}]}], "\n", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\:26aa\:15f1\ +\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\:15ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa", "[", + RowBox[{ + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa_", ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:2724\:26aa_", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\ +\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\:26aa\ +\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa_", + ",", "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\ +\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\ +_"}], "}"}], ",", + RowBox[{ + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", ":", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:2d54\:26aa\:25ef\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a564\:26aa\:25ef\:26aa\:2d54\ +\:26aa_", ",", + "\:26aa\:2d54\:26aa\:25ef\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa\:25ef\:26aa\ +\:2d54\:26aa_"}], "}"}], ",", + "\:26aa\:2d54\:26aa\:25ef\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa\:25ef\ +\:26aa\:2d54\:26aa_"}], "}"}]}], ",", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\ +\:26aa\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\ +\:26aa\:0418N\:26aa\:1513\:1515\:26aa", ":", + RowBox[{"OptionsPattern", "[", "]"}]}]}], "]"}], ":=", + RowBox[{"Module", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\[Theta]\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\[Theta]\:26aa", ",", + "\:26aa\:a564\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:a564\:26aa", ",", + "\:26aa\:4eba\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:4eba\:26aa", ",", + "\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\:1513\ +\:1515\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:1513\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\ +", ",", "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\:26aa\ +\:1d25\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1d25\:26aa\:2724\:26aa\:15f1\:15f4\:26aa\ +\:164f\:26aa\:15e9\:26aa\:1d25\:26aa\:15e9\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:15e9\:26aa\ +\:1d25\:26aa\:15e9\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:2724\:26aa\:1d25\:26aa\ +\:a5b4\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\ +\:2724\:26aa\:25ef\:26aa\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\ +\:1513\:1515\:26aa", ",", + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\:26aa\ +\:1d25\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\ +\:1513\:1515\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:a5b4\:26aa\:2724\:26aa\ +\:0418N\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:a5f3\:26aa\ +\:a5b4\:26aa\:15dd\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:1450\:1455\:26aa\ +\:a5b4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:164f\:26aa\:144e\:26aa\:0418N\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:0418N\:26aa\:144e\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:a5b4\ +\:26aa\:1450\:1455\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:15dd\:26aa\:a5b4\ +\:26aa\:a5f3\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:0418N\ +\:26aa\:2724\:26aa\:a5b4\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:1513\:1515\ +\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1513\:1515\:26aa", ",", + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa", ",", + "\:26aa\:1513\:1515\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa\ +\:1513\:1515\:26aa"}], "}"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\:26aa\ +\:1d25\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1d25\:26aa\:2724\:26aa\:15f1\:15f4\:26aa\ +\:164f\:26aa\:15e9\:26aa\:1d25\:26aa\:15e9\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:15e9\:26aa\ +\:1d25\:26aa\:15e9\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:2724\:26aa\:1d25\:26aa\ +\:a5b4\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\ +\:2724\:26aa\:25ef\:26aa\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\ +\:1513\:1515\:26aa", "=", + RowBox[{"FilterRules", "[", + RowBox[{ + RowBox[{ + "{", "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\ +\:2724\:26aa\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\ +\:24c4\:26aa\:0418N\:26aa\:1513\:1515\:26aa", "}"}], ",", + RowBox[{"Options", "[", "ParametricPlot", "]"}]}], "]"}]}], ";", + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\:26aa\ +\:1d25\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\:26aa\ +\:1513\:1515\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:a5b4\:26aa\:2724\:26aa\ +\:0418N\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:a5f3\:26aa\ +\:a5b4\:26aa\:15dd\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:1450\:1455\:26aa\ +\:a5b4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:164f\:26aa\:144e\:26aa\:0418N\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:0418N\:26aa\:144e\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:a5b4\ +\:26aa\:1450\:1455\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:15dd\:26aa\:a5b4\ +\:26aa\:a5f3\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:0418N\ +\:26aa\:2724\:26aa\:a5b4\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:1513\:1515\ +\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\:1d25\ +\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1513\:1515\:26aa", "=", + RowBox[{"FilterRules", "[", + RowBox[{ + RowBox[{ + "{", "\:26aa\:1513\:1515\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\ +\:2724\:26aa\:07e6\:26aa\:24c4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:24c4\:26aa\:07e6\:26aa\:2724\:26aa\:a5b4\:26aa\ +\:24c4\:26aa\:0418N\:26aa\:1513\:1515\:26aa", "}"}], ",", + RowBox[{"Options", "[", "NDSolve", "]"}]}], "]"}]}], ";", + "\[IndentingNewLine]", + RowBox[{"If", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "Head", "[", + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa", "]"}], "===", "List"}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:1513\:1515\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa\ +\:1513\:1515\:26aa", "=", + RowBox[{ + RowBox[{ + RowBox[{ + "\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:07e6\:26aa\:1641\:26aa\ +\:15f1\:15f4\:26aa\:c637\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\ +\:07e6\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\ +\:15e9\:26aa\:15ef\:26aa\:1d25\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25ef\:26aa\ +\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:a5b4\:26aa\:25ef\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25ef\:26aa\:c637\:26aa\ +\:15f1\:15f4\:26aa\:1641\:26aa\:07e6\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa", + "[", + RowBox[{"#", ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\ +\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\ +\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\ +\:26aa\:164f\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\ +\:a564\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\ +\:164f\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa"}], "}"}], ",", + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", ",", + RowBox[{"Evaluate", "@", + RowBox[{"(", + RowBox[{ + "Sequence", "@@", + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\ +\:144e\:26aa\:1d25\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\ +\:24c4\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:a5b4\:26aa\ +\:2724\:26aa\:0418N\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\ +\:a5f3\:26aa\:a5b4\:26aa\:15dd\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:1450\ +\:1455\:26aa\:a5b4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:164f\:26aa\:144e\:26aa\ +\:0418N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:0418N\:26aa\:144e\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:1d25\ +\:26aa\:a5b4\:26aa\:1450\:1455\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:15dd\ +\:26aa\:a5b4\:26aa\:a5f3\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\ +\:0418N\:26aa\:2724\:26aa\:a5b4\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\ +\:1513\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1513\:1515\:26aa\ +"}], ")"}]}]}], "]"}], "&"}], "/@", + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa"}]}], ";", "\[IndentingNewLine]", + RowBox[{"ParametricPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{ + RowBox[{ + "#", "[", + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\ +\:26aa", "]"}], "&"}], "/@", + "\:26aa\:1513\:1515\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\ +\:26aa\:1513\:1515\:26aa"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\ +\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\ +\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\ +\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\ +"}], "}"}], ",", + RowBox[{"Evaluate", "@", + RowBox[{"(", + RowBox[{ + "Sequence", "@@", + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\ +\:26aa\:1d25\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\ +\:25ef\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1d25\:26aa\:2724\:26aa\:15f1\:15f4\ +\:26aa\:164f\:26aa\:15e9\:26aa\:1d25\:26aa\:15e9\:26aa\:07e6\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:15e9\ +\:26aa\:1d25\:26aa\:15e9\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:2724\:26aa\:1d25\ +\:26aa\:a5b4\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\ +\:26aa\:2724\:26aa\:25ef\:26aa\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\ +\:26aa\:1513\:1515\:26aa"}], ")"}]}]}], "]"}]}], ",", + RowBox[{ + RowBox[{ + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa", "=", + RowBox[{ + "\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:07e6\:26aa\:1641\:26aa\ +\:15f1\:15f4\:26aa\:c637\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\ +\:07e6\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\ +\:15e9\:26aa\:15ef\:26aa\:1d25\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25ef\:26aa\ +\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:a5b4\:26aa\:25ef\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\:15ef\ +\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:25ef\ +\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa\:25ef\:26aa\:c637\:26aa\ +\:15f1\:15f4\:26aa\:1641\:26aa\:07e6\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa", + "[", + RowBox[{ + "\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa", ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418\ +N\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\ +\:164f\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\ +\:164f\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\ +\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\ +"}], "}"}], ",", + "\:26aa\:07e6\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa", ",", + RowBox[{"Evaluate", "@", + RowBox[{"(", + RowBox[{ + "Sequence", "@@", + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\ +\:26aa\:1d25\:26aa\:25ef\:26aa\:15f1\:15f4\:26aa\:15ef\:26aa\:1641\:26aa\:24c4\ +\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:a5b4\:26aa\:2724\ +\:26aa\:0418N\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:a5f3\ +\:26aa\:a5b4\:26aa\:15dd\:26aa\:25ef\:26aa\:1641\:26aa\:15e9\:26aa\:1450\:1455\ +\:26aa\:a5b4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:164f\:26aa\:144e\:26aa\:0418\ +N\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:0418N\:26aa\:144e\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\ +\:a5b4\:26aa\:1450\:1455\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:15dd\:26aa\ +\:a5b4\:26aa\:a5f3\:26aa\:15f1\:15f4\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\:0418\ +N\:26aa\:2724\:26aa\:a5b4\:26aa\:15e9\:26aa\:1641\:26aa\:25ef\:26aa\:1513\ +\:1515\:26aa\:24c4\:26aa\:1641\:26aa\:15ef\:26aa\:15f1\:15f4\:26aa\:25ef\:26aa\ +\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\:26aa\:1513\:1515\:26aa"}], + ")"}]}]}], "]"}]}], ";", "\[IndentingNewLine]", + RowBox[{"ParametricPlot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + "\:26aa\:a5f3\:26aa\:a5b4\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5b4\:26aa\:a5f3\:26aa", "[", + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\ +\:26aa", "]"}], "]"}], ",", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\ +\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\ +\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:0418N\ +\:26aa\:a5b4\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:a5b4\:26aa\:0418N\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\ +\:26aa", ",", + "\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\:a5b4\:26aa\:a564\ +\:26aa\:15e9\:26aa\:164f\:26aa\:25ef\:26aa\:2724\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:2724\:26aa\:25ef\:26aa\:164f\ +\:26aa\:15e9\:26aa\:a564\:26aa\:a5b4\:26aa\:164f\:26aa\:144e\:26aa\:164f\:26aa\ +"}], "}"}], ",", + RowBox[{"Evaluate", "@", + RowBox[{"(", + RowBox[{ + "Sequence", "@@", + "\:26aa\:1513\:1515\:26aa\:15f1\:15f4\:26aa\:1641\:26aa\:144e\ +\:26aa\:1d25\:26aa\:25ef\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\ +\:25ef\:26aa\:1450\:1455\:26aa\:a5b4\:26aa\:1d25\:26aa\:2724\:26aa\:15f1\:15f4\ +\:26aa\:164f\:26aa\:15e9\:26aa\:1d25\:26aa\:15e9\:26aa\:07e6\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:07e6\:26aa\:15e9\ +\:26aa\:1d25\:26aa\:15e9\:26aa\:164f\:26aa\:15f1\:15f4\:26aa\:2724\:26aa\:1d25\ +\:26aa\:a5b4\:26aa\:1450\:1455\:26aa\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\ +\:26aa\:2724\:26aa\:25ef\:26aa\:1d25\:26aa\:144e\:26aa\:1641\:26aa\:15f1\:15f4\ +\:26aa\:1513\:1515\:26aa"}], ")"}]}]}], "]"}]}]}], "]"}]}]}], "]"}]}], ";"}], + "//", "Timing"}], "//", "AbsoluteTiming"}]}], "Input", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + FontWeight->"Normal", + CellLabel-> + "9/3/24 16:54:31 \ +In[11]:=",ExpressionUUID->"6301d941-6fb1-4b33-81e7-068020296ac1"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{"0.0000667607850823332`", ",", + RowBox[{"{", + RowBox[{"0.`", ",", "Null"}], "}"}]}], "}"}]], "Output", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + CellLabel-> + "9/3/24 16:54:31 \ +Out[14]=",ExpressionUUID->"f1a6ab68-c434-416d-b01a-19cb869b7af1"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[{ + RowBox[{ + RowBox[{ + "\:26aa\:15dd\:26aa\:a5b4\:26aa\:24c4\:26aa\:164f\:26aa\:1564\:1566\:26aa\ +\:a5b4\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\:26aa\:a5b4\ +\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\:a5b4\ +\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:a5b4\:26aa\ +\:1564\:1566\:26aa\:164f\:26aa\:24c4\:26aa\:a5b4\:26aa\:15dd\:26aa", "=", + RowBox[{ + RowBox[{ + RowBox[{"-", + RowBox[{"(", + RowBox[{ + RowBox[{"(", + RowBox[{"2", "*", + RowBox[{"Abs", "[", + RowBox[{"(", + RowBox[{ + RowBox[{"2", "/", "2"}], "-", + RowBox[{"Mod", "[", + RowBox[{ + RowBox[{"Round", "[", + RowBox[{ + RowBox[{"(", + RowBox[{"X", "*", + RowBox[{ + RowBox[{"2", "/", "Pi"}], "/", "2"}]}], ")"}], "-", + "0."}], "]"}], ",", "2"}], "]"}]}], ")"}], "]"}]}], ")"}], + "-", "1"}], ")"}]}], "*", + RowBox[{"(", + RowBox[{"1", "-", + RowBox[{"(", + RowBox[{"Abs", "[", + RowBox[{ + "\:26aa\:0418N\:26aa\:24c4\:26aa\:a5b4\:26aa\:2724\:26aa\:1450\:1455\ +\:26aa\:0418N\:26aa\:144e\:26aa\:a5f3\:26aa\:25ef\:26aa\:1513\:1515\:26aa\ +\:144e\:26aa\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\ +\:26ad\:26aa\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:a5f3\:26aa\ +\:144e\:26aa\:0418N\:26aa\:1450\:1455\:26aa\:2724\:26aa\:a5b4\:26aa\:24c4\ +\:26aa\:0418N\:26aa", "[", + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{"X", "+", + RowBox[{"16", "*", "Pi"}]}], ")"}], "/", "Pi"}], "*", "2"}], + "]"}], "]"}], ")"}]}], ")"}]}], "+", "0"}]}], + ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"\:1450\:1455", "=", + RowBox[{ + RowBox[{"-", + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"(", + RowBox[{"2", "*", + RowBox[{"Abs", "[", + RowBox[{"(", + RowBox[{ + RowBox[{"2", "/", "2"}], "-", + RowBox[{"Mod", "[", + RowBox[{ + RowBox[{"Round", "[", + RowBox[{ + RowBox[{"(", + RowBox[{"X", "*", + RowBox[{ + RowBox[{"2", "/", "Pi"}], "/", "2"}]}], ")"}], "-", + "0."}], "]"}], ",", "2"}], "]"}]}], ")"}], "]"}]}], ")"}], + "-", "1"}], ")"}], "*", + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"-", + RowBox[{"Cos", "[", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"X", "*", + RowBox[{"2", "/", "Pi"}]}], "+", "1"}], ")"}], "*", "Pi"}], + "]"}]}], "/", "2"}], "+", ".5"}], ")"}]}], "+", "1"}], ")"}]}], + "+", "1"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{ + RowBox[{"\:1641", "=", + RowBox[{ + RowBox[{ + RowBox[{"(", + RowBox[{"2", "*", + RowBox[{"ArcCos", "[", + RowBox[{"Cos", "[", "X", "]"}], "]"}]}], ")"}], "/", "Pi"}], " ", "-", + " ", "1"}]}], ";"}], "\[IndentingNewLine]", + RowBox[{"GraphicsGrid", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"{", "\[IndentingNewLine]", + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:15dd\:26aa\:a5b4\:26aa\:24c4\:26aa\:164f\:26aa\:1564\:1566\ +\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\:26aa\ +\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\ +\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:1564\:1566\:26aa\:164f\:26aa\:24c4\:26aa\:a5b4\:26aa\:15dd\:26aa", + ",", "\:1450\:1455", ",", "\:1641"}], "}"}], ",", + RowBox[{"{", + RowBox[{"X", ",", + RowBox[{ + RowBox[{"-", "4"}], "\[Pi]"}], ",", + RowBox[{"4", "\[Pi]"}]}], "}"}], ",", + RowBox[{"Axes", "\[Rule]", "True"}], ",", + RowBox[{"AspectRatio", "\[Rule]", + RowBox[{".25", "/", "\[Pi]"}]}], ",", + RowBox[{"Frame", "\[Rule]", "True"}], ",", + RowBox[{"FrameTicks", "\[Rule]", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"-", "8"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "7"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "6"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "5"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "4"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "3"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "2"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "1"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", "0", ",", + RowBox[{"1", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"2", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"3", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"4", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"5", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"6", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"7", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"8", "*", + RowBox[{"\[Pi]", "/", "2"}]}]}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"-", "1"}], ",", "0", ",", "1"}], "}"}]}], "}"}]}], ",", + RowBox[{"ImageSize", "\[Rule]", "Full"}], ",", + RowBox[{"PlotStyle", "\[Rule]", "Automatic"}], ",", + RowBox[{"FrameStyle", "\[Rule]", + RowBox[{"GrayLevel", "[", + RowBox[{"187", "/", "256"}], "]"}]}], ",", + RowBox[{"MaxRecursion", "\[Rule]", "0"}], ",", + RowBox[{"PlotPoints", "\[Rule]", + RowBox[{"1", "+", + RowBox[{"2", "^", "11"}]}]}]}], "]"}], "}"}], "\[IndentingNewLine]", + ",", "\[IndentingNewLine]", + RowBox[{"{", + RowBox[{ + "\:26aa\:2724\:26aa\:24c4\:26aa\:1641\:26aa\:07e6\:26aa\:25ef\:26aa\ +\:15f1\:15f4\:26aa\:1d25\:26aa\:144e\:26aa\:2724\:26aa\:15e9\:26aa\:15ef\:26aa\ +\:1d25\:26aa\:144e\:26aa\:1450\:1455\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\ +\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:1450\:1455\:26aa\:144e\:26aa\:1d25\:26aa\ +\:15ef\:26aa\:15e9\:26aa\:2724\:26aa\:144e\:26aa\:1d25\:26aa\:15f1\:15f4\:26aa\ +\:25ef\:26aa\:07e6\:26aa\:1641\:26aa\:24c4\:26aa\:2724\:26aa", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:15dd\:26aa\:a5b4\:26aa\:24c4\:26aa\:164f\:26aa\:1564\:1566\ +\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\:26aa\ +\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\ +\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:1564\:1566\:26aa\:164f\:26aa\:24c4\:26aa\:a5b4\:26aa\:15dd\:26aa", + ",", "\:1450\:1455", ",", "\:1641"}], "}"}], ",", + RowBox[{"{", + RowBox[{"X", ",", + RowBox[{ + RowBox[{"-", "4"}], "\[Pi]"}], ",", + RowBox[{"4", "\[Pi]"}]}], "}"}], ",", + RowBox[{"Frame", "\[Rule]", "True"}], ",", + RowBox[{"Axes", "\[Rule]", + RowBox[{"{", + RowBox[{"False", ",", "False"}], "}"}]}], ",", + RowBox[{"Ticks", "\[Rule]", + RowBox[{"{", + RowBox[{ + RowBox[{"{", "\[Pi]", "}"}], ",", + RowBox[{"{", "\[Pi]", "}"}]}], "}"}]}], ",", + RowBox[{"FrameTicks", "\[Rule]", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"-", "Pi"}], ",", + RowBox[{"-", "1"}], ",", "0", ",", "1", ",", "Pi"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"-", "1"}], ",", "0", ",", "1"}], "}"}]}], "}"}]}], ",", + RowBox[{"ImageSize", "\[Rule]", "Full"}], ",", + RowBox[{"PlotStyle", "\[Rule]", "Automatic"}], ",", + RowBox[{"FrameStyle", "\[Rule]", + RowBox[{"GrayLevel", "[", + RowBox[{"187", "/", "256"}], "]"}]}], ",", + RowBox[{"MaxRecursion", "\[Rule]", "0"}], ",", + RowBox[{"PlotPoints", "\[Rule]", + RowBox[{"1", "+", + RowBox[{"2", "^", "11"}]}]}]}], "]"}], "}"}]}], + "\[IndentingNewLine]", + RowBox[{"(*", + RowBox[{",", + RowBox[{"{", + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + "\:26aa\:15dd\:26aa\:a5b4\:26aa\:24c4\:26aa\:164f\:26aa\:1564\:1566\ +\:26aa\:a5b4\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:144e\:26aa\ +\:a5b4\:26aa\:26ad\:26aa\:15e9\:26aa\:a5f3\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\ +\:26aa\:25cc\:26aa\:25cc\:26aa\:25cc\:26aa\:a5f3\:26aa\:15e9\:26aa\:26ad\:26aa\ +\:a5b4\:26aa\:144e\:26aa\:1513\:1515\:26aa\:25ef\:26aa\:1513\:1515\:26aa\:a5b4\ +\:26aa\:1564\:1566\:26aa\:164f\:26aa\:24c4\:26aa\:a5b4\:26aa\:15dd\:26aa", + ",", "\:1450\:1455", ",", "\:1641"}], "}"}], ",", + RowBox[{"{", + RowBox[{"X", ",", + RowBox[{ + RowBox[{"-", "4"}], "\[Pi]"}], ",", + RowBox[{"4", "\[Pi]"}]}], "}"}], ",", + RowBox[{"Axes", "\[Rule]", "True"}], ",", + RowBox[{"AspectRatio", "\[Rule]", + RowBox[{".25", "/", "\[Pi]"}]}], ",", + RowBox[{"Frame", "\[Rule]", "True"}], ",", + RowBox[{"FrameTicks", "\[Rule]", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"-", "8"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "7"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "6"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "5"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "4"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "3"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "2"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{ + RowBox[{"-", "1"}], "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", "0", ",", + RowBox[{"1", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"2", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"3", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"4", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"5", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"6", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"7", "*", + RowBox[{"\[Pi]", "/", "2"}]}], ",", + RowBox[{"8", "*", + RowBox[{"\[Pi]", "/", "2"}]}]}], "}"}], ",", + RowBox[{"{", "1", "}"}]}], "}"}]}], ",", + RowBox[{"ImageSize", "\[Rule]", "Automatic"}], ",", + RowBox[{"PlotStyle", "\[Rule]", + RowBox[{"GrayLevel", "[", + RowBox[{"152", "/", "256"}], "]"}]}], ",", + RowBox[{"FrameStyle", "\[Rule]", + RowBox[{"GrayLevel", "[", + RowBox[{"187", "/", "256"}], "]"}]}], ",", + RowBox[{"MaxRecursion", "\[Rule]", "0"}], ",", + RowBox[{"PlotPoints", "\[Rule]", + RowBox[{"1", "+", + RowBox[{"2", "^", "11"}]}]}]}], "]"}], "}"}]}], "*)"}], "}"}], + "\[IndentingNewLine]", ",", "\[IndentingNewLine]", + RowBox[{"ImageSize", "\[Rule]", "Full"}]}], "]"}]}], "Input", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + FontWeight->"Normal", + CellLabel-> + "9/3/24 16:54:31 \ +In[15]:=",ExpressionUUID->"d6172bda-ed47-4c13-8cee-48eebc6a0241"], + +Cell[BoxData[ + GraphicsBox[{{}, {InsetBox[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], + Opacity[1.], LineBox[CompressedData[" +1:eJwt1Xk0lWsXAPCTSFTSIPoqQ+p935Nk6FaGQkRHpgzdJJdSimQquSKZriiK +xOVLfKIyFCG51Zezd0goLsnQSe7RMTscQ4kM53u1vr3Ws571W/vZz97r+edR +cvG2cRVhMBhh9JrfxSo4ttNKTGT8jBGwbPMwHlnHxIvFQjoEwDErkTkhw8Ts +o9y5eYvmD0kaL2fipiUSnfO2V3J4ZizBRP/yiNE52sPjwfsqRZjo+Whs/Q/a +G0sSvhXNUKgfI5M5SPvf750iN0xQuCul434FbR1Rp7psAYWzVleMvWgHKFvq +3O2nMPZVXDZnTgBTGd8i5XgUWqxtgdpZAcgtvTEx107h0IWN17VnBHD82hEF +0VYKBYlfpCanBMA/1U5ENVCYqbejPHhCADIaB20v1lKYtiRkZNmYAMKOBDn1 +V1C4U+f3RY8HBSCb9FEpoIzCHeau/Yk8AZixKUn/vyhsl5RapcwRwCtjtcbR +Qgr/6C5LTKgTQPMLoqw9j8IY/oCzJwhg643mKON7FF4wsqjRzBdAvt9fknVp +FMqNqWuvSxHAO9a14opkCs8Xp84dDROAatBqba2bFA76sD2UXen61LiudTEU +Rit9WMHaL4BD9XtY8pEUXiLLLVMIur9z1rX0yxQm+0oINYXDUNsxNXo9gELp +HtItr2UY1rwU15r2pVAy97N2woNhiH3E2hnlQaGixJ8V688PQ8a1wCPhrhTG +v9TvPKg/DBNVJ45OO9H9R16oC0WGYWBkTzjPnsJ88RDTt1VDsHib2fEBGwq3 +GzFVFKOHwL1muYK3OYWT7os/LjYYAjeb1wtOmFBYHzypK5jkQ662ZsUHfQod +HI6uyc/mQ7RUfO5TbQqvTg62dR7mw80JRdbT7RQu3ZwrvWARHz7vdn+tqUph +cUmJJpU7CG98Mk8rkBQaeN9+XWM5COMit/quKlLoHnuHMdQ3AKY5pUqr/kXh +qbZ/fGTDBmCP7tuQJasp9JV6MjG1fgD8tia/DFtG4UL2ePbq/H5gLbGTPy1O +ofyDlMiivf2wf9ZIy2MBhd1ykV+6mvsg2mJQfegHiX8eGQh1dOqD0zERlh1f +STygrcXN4vdCNNl0wGKYxJf7q9OMvHvhQ8shIaeXxMIMFUersR4oO1/T1tpJ +4h9XTQ4dvtQD/V5f420+kRigJvSQm+6GJ4elvXY3k7jD5JDwQlg3QDqPea+e +RD3zFeZNM13wbbI/bUs1iW4O5n3fL3ZBjUHnTsVyElv81ZiXhDzg9sQV3Pov +iZyzH/F0IA+SAtXM/J/S/QqdXaZnv4CfkkjG749JbFfdHjEQ8QWSiNimiRwS +c3vMy/2EnWC3VnxrdyaJicvNFzVFdMKvZ7RPWN8hcWBnqUvzLBfC69Nt2pNI +3K1V5WkayAVzxW+nWuJIZIyyHVWE/4B9g4iD5VUSfxnL6Vr2rQNEvBac2xFB +ol+hSHvGzGdokd9uqBtMYrjPkzxZic8wpLp+lO1Pop3FFi8Oox3ubpmoLPIh +8cFxfqmd5CdIiYz6feMZep4Ne/eoCz+Car2ElchJEi9zroj2iX0EPhM6GE4k +Bn7Pd2tc0QZDPBWL4MMk1jpHp2dJtEJszFWumzWJhgY8z45VLeB1M93m/QHa +7wIlaiWbITCv55LDPnp+U5av9uIPsKlI4Z21HolyMqo143JNIL1G+kfVLhLH +AkvtleXew223UfOHGiTOmHNlLVQawbJezL5AhcQPqs5yNiYNYJzQuFdtM4mO +/7kjNZdVDxyjGwcVFEhM0eC7ZHa9gyJbEb3rciTGFrle1pN8C8X+uby1K0lk +6f9mpLavBqyj9hbILCXx2Q1bXbGcN7B9Iv5wnBiJ797f9rebeA3FNo2bA4QE +JhZsTUGvSjhavu9RyBSBM016hcPT5bA/3nuF2DiBlXhT41nAK2g0i0md4hNo +oNrbtkEeIc3VSfRsD4HYKvQ56VkG4p4bEyy4BFYPBqQYDL2A1Ki1bRYcAhmv +fvxYkPwMzjGqZ942EageMoqiaqXQe+q0yfM6Arn7drmsWV4C6Spsr01vCNTa +u1LEhVcEIQtZVgVI4DGl0kvDbx7DXHW5XfYLAgvX+JxoOfAIkP9VS76Erlf6 +9Px7ZQ4ozskaihYQeNBLR9Hv+X3I2+i1UCKHwIaKWfb975ngfz8oK+Yu7VaN +9gadDODKBLoFp9LzJWUa6L5PhetdakuHEglUTLmTOW6WDCer5NyCbtD3G/uZ +XT5yC9qSxvoCognMGNlhNCEbD3EVEayvYfR7GPe4S4tcg64OsbqOIAJDB8PF +a8UiIcheX33/BTpflmzxt3so7O5+aFbvRed5oeKEwUVIdZaIrXSjbZno7Xv8 +POzRkn+o5ULPY/vKbOb4WQieUxasc6StZj2+KOck9LY6Dm74lTarrlny5G9w +a7lFVZoVbUNtTlC8LXz+ZVtVrCntPlOx4gJTOPOs6t6U4fx52UKbNj1QskrQ +jdxNW8772OpQDfBvsvwespP2MRNW2xZlWFZafnNSbd6T6hcWrgTDK6Q1l0k7 +dGxsoY+QfdcdqnuUaTOaNBncXrbRQykFjw3zbjCoUq9lh3MsbzvJztvHRtb8 +AVvUzeTr39LzZnjzZM6xN/G2nS+U/GnFwSmC7eV7IO+x6E8zDupklU2rEUwu +g/j//9/18n8nnS2j + "]], LineBox[CompressedData[" +1:eJw913k0VV/0APBnlqKRivDu8IqSsTTbhoo0GEPmRKnQpERIeaKS0ESSKGMq +QwlNOxX1jShDkkTGZ7olDSh+V7/f+p1/7vqss+8++wxrnXUItz2WHsIctglx +OONfRski69IwDzn/WtvKmL2OM0K//TP3SZqCnspDqY6JPf+cyVz30XP6kdko +0j5u/dgdg9f0aiI5ef5N4w7sPPOyTE8w1STbuX7cV5m9GW16Klklzm7vxr37 +hlLviF7WtUGm9TXrkCvNifRU+I8/M63mBetov5WJhwhQK/u23OjJuAXhu/5q +QuGcsfCqQtaeRx4snaAHX1z+DpXnsb7R5TkyxQTMhPJNjbNZdyTJThSzgi3R +W1AzjfWMpJwT3x0gLej+jLgk1llLByrd3UEx2IRQimd9bEPB42gv0Pb6z3L6 +OdYgs2Ch9AE4WSiy7UQk6+WaPdc9/CF4YGGc5wke6q9QnqawNgQS4XTYzhAe +4orR852efBjrTrLo8udhiMF689LMkzAWmmpYd4CNX79sc6XNWTA44jbN0JuH +17Z5+IdpnYNbYZaXy7fz0FXjYIsBcQnuB+kYl7qy/e/k/ZP1EkC05vW7VfY8 +5Jpb1inIXwNm5uZQ2podT9/sxv30FBDdM7VXdRMPv+bWBbq4pYIDHaZzy5iH +VXNrowrjM8C8TJCUZMB6ZFOYz/ubEPFSrGfyCjY+ff+OjsQ70N1o3X91EQ/3 +6mYcMX2dC91N5+US1dn4BAf1W8/yQQ0iFWer8FAyHb0qJQpg0hnfdaIkD6cU ++qP16kJQXzbD2luBhyqZBjz+u2I48rtD7scM1o9s/rQfegQeqVmjPTI8HPy5 +bt50cYTYHN4LV0l2fdzq5GoDn4JTZkzOamEe2tWePVwgKAHLe5rWxn9oHCw9 +mTDd6TmcVA6vK/tB46xXgSI3R1+As9+boPsMjXO2OCfzY8rg0MIKEZ6Axq6K +O2+TrV5B4c9Rg9wvNGac0PBZKv8a4m4RqdmNNNJ3rgdcLy+H4pKRDl4djfUv +LE/I3n4DAS9KN8pU0Rh72x2UV1aB+8fnXrL/0cht/6Jtw30LMWYBuy4/o3GR +1NSSKIV34JjLPRP1iMb986nJ7yWrYee+4CjOfRrV1ZpS8yfVwAzlirstOTQW +HrBY6CBUC4LPm861ZNFY7jc39M5ALQiNhZu73KDRM7F3X95QHRRMzNPecJXG +xwXZm8eY93D70LnvBZdoHE15mHZ8pB6qBtO99GNolArcHckXboBo11tSy07T +qK/vKj3hdwPEzt8VfodPo3WdRqytcCNof5mpeiGYxuaC3lmjw43wJ/v38fjD +NJZuta0p6f8EE+qiHyjsp/Er6fRy1a8muNs7e7a0F42c0zqWkv2fIXmr/eZA +D3a+rZm7ngc2Q6GgYp2UC412pb8apnFawNtFe5vEFhpD3qcPRQS0gIfOJofj +ljQWxTUrbhlrgZFB+8NeG2h8tY0+tPHIF6ieoexbs4ZGwR8p8RihVqg1llNx +ABrltxNR68Ja4aDnKMdiGY3dI+qbhDltYNeZWPhcm0btKaZLn/HboN5K/UK6 +Gju/ac1aGzjtcLz6m2rmXBotWnL4jYfbYU+uV6IKl0bNYLfE2uF2GA6sXzxT +nq3Xa95/cw90QFnaSHbodBpL6EKl5YMd8LjVolFGmq0v1XmB3b5OsJKJ15wg +QWOHdqSna1snLLh0adsxDnu+vLWPS7p0QbJ0+APPYQq/Lvo7zaayCwwuZb72 +GaRQfFukD71CANTR0OKBPgqffHARN7slgOvWm960d1IYcl6g9XtGN2yPqEKb +FgqtqtzMR451Q1mmUb3uRwpPPpTM8m7rBssmQfriWgr3q178mWrQA8r7jG3y +3lC4l4w52JvSA6e+XVC9+pJC0dWTPwgN98DSqoSPEiUU/hHt+61n3QtTSmrW +RD+g0OleucqVjF4ISx6tCb9Hoe9wkMqx772w6vNnw7+3KUx869p4Wr8Pzknl +1bZkUOh2bOj9WX4fTF93n9ueQmGPreuV2Gd9UNt+wHT7FQojDG1dq0X6YfFe +It7mIoV+Vo1JfSv6od7uROnzsxSeH1ZcG+HbD6nWStkWJym88uDV8ob0fpii +l16wMZTCyk6dv/3V/fAorDOpLJBCKiQ85fpYP0g+mHU75xCFSs4aNik0A0Ei +Qb6Fe9n59epO81zDwOKsuNl6uyg8ttHPdr4HA6f1Ulu13SncWLdYzeEoA5TW +zshUJwpvLDRLWRjHwJapSvbhthTezPer1cpmoEhUvDncgsIdA7PjZB4x4GiT +ulp8PZvP4kjR0XIGrlxY/Ob7agqXbC/ZcrieAWWdIF0XPQqfPvj2c1IrA+Wt +v2wESyisry+JXdfDwMzj26580aLwoNG5babfGLgRfAK3LKBwqoNj8fKfDFR6 +Xp6gz6Mw4LNSzOUhBujtLVJrlCmUuak95/cIAw+ffu4um0Xh0Crzurt/GRiQ +qmSKplH4Qvaz36NRBnblHaxWnUSh18oXz3eMMTDJ22xPoRiFst5fjJD1Bll5 +zYIxEl8PVjd3sq5UuFKpNURizNrKXUOsv06efH7OdxK7iU1XRllbuS1bEtZL +YmdKcOMY6+ayi7GTOkjcndYxOu6JCu3TRJpJNLEfGxu3f3n3Pr8P5P/d91+B +v7vlh301ify0/+0vVpyo7VRBYiyp/c/a6r0bPpWSWC615Mu4TdQeR5YjiWfQ +5vv4+NcijG8uKyZxeJuk9DBr6rfI8Rf5JH7PkvwjYG20OTcIb5G45lJ69XPW +aVPk7Jalk/jT+IvPHtbpRbttiWQSJfdonWhg1+fdwUEZlQQSJQ41nepk1w+i +F6VmnydR6KuDm/EfBkzjPFyvRZE4tj6ZEh1mIG504/DUCBLV4vo+hLL7w7ec +VzRwjEQxTtEOiQEGLhfpaA4cYefPOL0x6mX3I/hX2J6DJJrXFmUvb2OgSyub +47iHxAO8/MGqBgYIo1n2pZ4kSrtFShu9YfPtuL/bzI3Ej2XquSZPGAjzN04x +diRRvGjj0ru3GXgpiLr/aDOJg7c/7U2OZyDTuOxbihmJI6tcZtUeZ+BuoXZb +2joSrdXVpFx2MiAcsfmeihG7Px3XLsiZMtC3eufN2atIFBHfecpKlQEF10Uh +EbokepfzEyuEGDgQpS0tp0liTuSxzw11/bAzi3gybT6J805OK554sx+S6Zdm +ZygSBQ6r8zz9+sEv0kXMT5HEUq2w3VZG/RBteTu+SY7EILurEzLE+6F6gWaH ++xQSn6Vuj6oo7YO7Aym29lIk/jZ/vW17eB+8n1mRXiHCnr8FOWKBen0QN79r +Ts5fAn2fJ6QF/OyFUHNd09xfBCrv3EvqZvdCbs0KG81vBEbpbtU5bdsLQWu1 +w5V6CDRW2Lg/X7QXTss1XzzVRmDCgOBbeVoPPBtCZ9kmAlOnvrMNX9sD8f/F +rp9cT2CBo/MZDUE35A0pzAx/S+DX4LHDENINnnIXJXxeExinNu/AD/luMFlr +lHPgBYGH89OvFt0VwO6a9CW/HhM4Q5/Z98BQAGrmf1sFhQRezXbZeb+2CzTm +S+2xzyPQzE/uucCxCxxnmuYJbhLYVmd7vbe1E+YNBMh3pRJY/IynqMXeD4sX +bNvqnkTgUmzOYAY6oMViZYVZPIGekiZXk490QGVd+cyiWALzDP92iw21Q6dP +tLxBJIFvjy+Xv+fXDomDqw2XniCwSyNwzHy0DS7W55jcOkrgrupLq+UC2mBh +lrRvjD+BhKLTq6WjrdAflWh7/gCB00Kz/SP4rfDtbdtsWW8CJ0V4PLbhtEKs +sKKQ2A4CHU4YLmnlf4FDMZPK9rsS2O/6K1iKvX/5OhprxrYQ+IlcsznpSAto +PDPsGrZi688u8p4o1AKKudK7fDcSuNyzyXsOe79nRr6a4mRMICr5XBfjNIPL +kcI97gYENnxeb/l00mewfel8S7CcQDfCf/tT0SboE/45uWkRgeRL2Tn7ZT5B +acJqC3N1Ap0V1z71EWmEVST/vpYKgXVrCoUpqY/Q9OBnrRbJ1r9e9XWFbAM8 +zedW31EgMIP0+/B90gcwjF/x44osgfaG0vFycvUg/kn6p8RkAnWlS4Tpie9h +UGf6nRhJAh2dSZkBsToIOTzhzClhAm/fkFHaPb0WNincXyf0h4slaypVZsjU +QPHNzQs6f3AxMNnAc+qcajA3m/eih+FieYZJ50ytd6BqkbPKR8BFdQMrVZ3F +byFJf/5Hl1YuZj1qWh60sQrsohxsKxu5GG2XMPo3+w2sYJ6cdqrjYuP1U6dO +vS2Hh6tk2+2ruGgy+lQpWvk1HO0mFOtecdEzw05X1/oVNPOVA/AZF333+7gL +55cBP6jmnsojLtKS528e//MCLE8+zLxTwMXeszXrLjg+h8fHL5Sk5nDR/HCu +skFHCXg18IpnZ3GR4zq64LvLUyhUTq/7c52L3KqiW6eEEdQPO9wcTeTiLPFG +xXu+j6BTvcw5+BIX4+Zo0MsqikGvmlriHcPFlxpfC9v3FcL72iX9H05xsbld +Ruu2WAFc7gl28uCz+fMVkppK82Gkv+CbSzAX8aLbxPkVuZBknuZe78fWoya2 +9se5O9CcdOLPk32sm+9u8Z+SDRuEL28o3c3WM7Tz9rwPGTBc4n7E1IPNr11p +syQxFdbu41aucmHjc3t7vtemQE3QOaE7dlzU35r8BGSvAefwL7uzlmz8h0Qn +32MJYBMW5Rm1gR1/19ijTtdLwHxttJm4lq3v3cyI0a3nYK7pnF2/gI0vlwtN +kIuGJKHpjluXsf1HdWs9vp4Ew/KV4t3aXAwZlQxPzeZDKN+2tkWNzbfiWD8Z +EAISCaqhtnPZftmTbwpN/EE1qXfzSi77/wbh5Qu2HICDBV+aQZ514nprecIb +RNSiTZ9OZ51iaP+4yh00zqp/yJVmbXnNtpnvBFfN+Wu5EqwlOWqLYq1gCU/a +6xaHdb3nmn0jJtDwRtrb6ocy+56Vk1U3B3g9ee8T4y7Wng+OXsrRgt8L7jrI +N7LOmdAQY0RBluiOe11vWOuHzLP8NRVyREKODj5lzXm8wzLhr97Ap4BzTffG +Xasz+rVdr8i3zf15xrgHbdTUyvQedmiERFwZNzfg19UUvelHWyaeih53yI7W +4n16TLXQ5vDQcXM0JShCT0JUtMvN7585+vGxq3aum59Rslf5/9/7/wOceMjT + + "]], LineBox[CompressedData[" +1:eJwt13c81esfAHCkqDSdvYzcklEpJWRUiko3GUVFikgqm4ybQzLKyi4NabiJ +kELD+XyM5CLJyio7mSdSNr+ve3/nn/N6vz7P+Tyf84zv83ylTjsYnhESEBAQ +FBQQmP82WsdNVbKWQIF/P91vYxfoT/xp/p/b7sTlFwwLC+86/K+RIyfNc7mt +ULFJZ97cv6JjnXmW0tu+zqjMW1LtRvMD3v3KR5aiCvMO2CYe955nqxKQtFxi +3rkC7Llunp23jtzP1YS594zscmd4P7xdnGoWEpZUtBrcthrKk0XXD49ziHiQ +cJH8Guh46Cs3OEA46RXP1VsJLlud6e1qJWwa+E56QhOOzCiMvK4hLDNnAy16 +kG1klRdaQjhVxsL5qRFYV80NJb4i7Ox5edzLHA7LXnYISSdcFxtmVWQNRTvs +052TCEfv0XKXvgBOCmpb1WII0yY2ktxdwGWXg6JWMAe57eG1Uwc8YZIk3qnl +TTjG5Ky2GxfeFiZ0cBw4iO4Z0vLpASCsGHZv4hQR/6KRI7z4GiTl91SsMuGg +tsnLB17ikeCxXkZHdB8RN8zb/dokGmoOf7w0qc5BybCN8ldN4sHe5MRY2UYi +X88bQYOriXDU99C3hjVEPZF3NMZEkqD0TFZUB4WDK5VG4BkvGdzW/QouW8JB +gyf5dnevPIKURyk7ns2w0dL3p09Lyd9AnlNXcxxmY2bLpxP3VqXB58vb/nbo +ZqOj/fTZ6sAM4Ly5V3OugY2yiwKc/Iqz4H51n55OBRtXpm+0Ny/MhiePH3rT +gY0/JiSUn4jlwML7xRyF52w0bRrodrqYB3fcS5ayH7Ox4dSpmeJ3r+G1qErO +4ptsNBiSp5U55sNq95DU2lA2BstGh2UtQoDFu1Z84RIWC3/39kQBDJvZKbW7 +sNE6Q+hJWGshWFx1vlNkS9QnYd1af6QYDC70ldw/xsYE5yseKdPvIN671DL/ +IBu3a8fd0njyHuhngnVydxL9F1npSO75BySX5nanKRP179HnK64sB6vQ91Vc +WTb6WFm+OfK+AuTL9noaMNlYdUs9lJdeCbobFKLMl7Ox9g4v22V/Fdy78HNU +T4iN2ypKa7hKn8DHbj150y8WqvUE9d7dWA2x2jt9f31n4bUilfuVEjUgpAlm +4y0sHGg/nRK5rBZGx54O//7IQlHr4Q0Rq+pAOsyrpqaIhX0KerJ5C+uh7muu +c1oOC22GYSZyxWdoOXLcv/AJCzcvYVOcyQ0gkdrWnHOHhQ1d3Yk7ljRCz6va +kceRLGxrKG8TITVB38NUI7cAFuYYUM2NxZphV843Gb9LLKSE0Mur5ppBIuBG +drA9C0tPBEsuEf0CKoqc0IsnWRj5UHEyZvYL1EbRpQ0Mif4MR4XrF7dCes1v +wZV7Wcjd7WCYLdgGTWpqhsvUWOi+YUf1Yp82CLUYOLlIkYUd6mEH3wi0g5c5 +N/eLJFFPgKB7jE87DNKuVGSKszDYriHuvVAHvJjsP1ywiKj/gPGTgMAOyM3d +JpU5ycRLsZv1Tgp2gpbSj/Rbg0zsIX/Y7RXYCQsCrwdYtTOxPv6QfoxQFzAF +w8rt6pj4WKq7asq7C/K2z3y0L2Vi75RG7665Lrhx4BBH/y0Tm+Uztm/27AY+ +Y6WcbAYTBU+4Z12d7ob7K1Ue70xmIlk1MtPc5xtEtGudV41j4g71lxfPjnwD +IYdcvkIIE9u+Tmu1OPZA5ueV+bM+TLTblJq+qbsHnttUTS5zYqJuiumMjcl3 +UHs++4tmzUSHlW8sWyu+w0zDcnUhUyaePqHsNKLaC3vehmn07GciL+rlJ6P0 +XvjHaygkVZOJx1tiVNZQ+uD+yZd7n25m4rS70vIRzz6YFejHlD+YGOF/atfL +jj6I/0voqj+diUsNv9cv2tkPL3OCF5mJMbEuTDzL8EE/rKO4jZ+fY6Dl8eXm +vLl+qDPYrXzyJwN/VHv0qBkOgKgeV/HgNwbKkQTJESkD4MG450hvYqCIzGmm +8c8BUBOXkVlTyUDBwI9GP1UHwb+UGitbwMCX2+WfefoPwiKzwUNiLxmoWFVb +qF84CCseK5X2pzAwcN31w/sWDMF5ekumaCIDJWlRhfWaQyB89mzngggGKs1e +CYtzHgJpF6uaST8GCnMezsY8HgJvM+1lVW4MPG1isk2zZgjMpq8L3z/LwDSP +6xed54bg+juN8xnHiXxiEteerOXDhN0n9u1DDLzV3vMxVpcPA2U1oQG7GKgg +kr7M1pYPTJG8PQe2MZB8eXT8lh8f7toJORySI/5v9Gu2XAIfHge/MTnEZmCu +0lntI+l86PM/Eqi4ioFTtEmzrTw+ZKtauooIM7CM9LDv5gc+VFGqqjljdDyy +PPDCsiY+rP8o5U3qp6Pzl6cPgzr5IKLT0bbwKx2Ns0NW5/fzQSomILLuEx2f +DnqKZw/zIWJJWGbHOzoOBr3WVPjNB38N4fD+PDq2dXRF5k/wIfOA+cvqNDrK +ixTpUqb5YE3549bLe3SUduH0l8/wwehtZal7NJH/2k2pylk+xAV/tXULouPC +NfGJNnN8sFhlXuzgRceMp0uMkfBpt/xzehfp6Ghguvk74f7Y0ErWKTo2N7GS +JgiXthQkbzCmI7ko6OMs4fbZBuTo0THKd6x5jrDvF4vbS9SJfAsHZ+dtFl9S +ULuBjl+c5ubmHeQRc7RFmv7/8/8HqJE+pbaS6UjK+i++PpSvW7CYjhpuW/71 +HfRJvjtNw2pB5/Z5n2E6XnjFp6HucF/FfP92h/f4P++koTmne+sk4ZHdIcYp +9TS0Xem6sY9wzqoMR68yGp6t0U8rJixwe/f+ffk0tIk91n6B8F19zRiTTBoW +eF+yLyfGx6OBIav9kIa7861r5sevTtLGc208DYOpIRnz4+ugE03qv0bDydsa +G3OI8TcPXys5eJmGoerh/zgR81MVI9vb50TDR5r2NYnE/Pm6i02XnKFh7s1n +fY+I+c0mnay4a0rDdOnC+qPE/Ks3Upe9OEBDDXeF48sa+TB36Xl8ihYNLTf4 +Jx0k1o9e3ZvWuM1E/emen0fz+fBBLMXJei0Nm3Sjbg2nEevx3LiLA4OGjaW2 +/sE3+SB8NW+L+zIaKhXHT22cX79exzSOC9JQzcekazOxvvMV7IV3jFKx30aS +v5dY/5sWdqgf66Fiyo1Yg9k1fGjNU8s/0kxFAyUrvhuxf8TXC03/WUnFK2nD +chXE/vJ3fRrwRyEVC2bEDJRThsDdp6hs7AUVy9+ttXtK7E9I3ekn8jcVJ7zP +FlSpDcHuF/f9fiVSUYe0bnmn0BDoezlqtYZTMew9d0yc2P83mFK6Kf5UdHI8 +uCHFbxDkOlUgzZ2K8r4JUdWag6Bnmz+abkfFWyK8j1tHBiC5RMY1zJyKn0Wm +M1oeD4Bfx2TmWQOi/skttYKHB+CFjIqF324qWly9H+E/3g9rdpmYXFKhYvoS +xtjO5H6gsjoW28tRcdONAy4F2v2g+8lAdAeHiidKdi+XJJ6P5Wl/eOxfTUW6 +maEU3b8PanXsHhgvpKJy0j0Ze+L5Ss8Lp2tMULA3ccq+7VkvdPZIH5QaoOB5 +/6Ysf/Ve+D6SUNbZSsFVtW6/KR++wy4Zt7zOGgp2barqD7L4DlKr0aq1hIJB +Od+U9bp6QL15wPTVawpuOvkrS5M4H5osg5dGpFOwbHAy/9GPb1Apdm1DchIF +H3ZIapQ4fYOZ6AuJ0TEUtDaeuag+2Q1Zn+EdN4iCdSKb9CI8iPPo909VfW8K +tqyfulI+3QXd6uEcEwcK5rwi//52pQueacSj2WkKWhZnlx8U6IJshn/ajiMU +jPQWmlC/2gkaL5pNmPuIfJyqlJS5DmC6Jjqo7KBgTPBvaXufDti6QqNGaRMF +FzVuDZkS7IBam4Oj69YQ9Zo0Wyr+1Q4ZN7VOTlMo+PWVjsM2wXZYEZIUVLGY +guSYU9ZdXm1QdNdWsmmajALhQodFh1ohO2LlgpIfZHTMOrl3fOwryGrIPkjv +JOPfHa57Ng9+gYZ3UmEun8kYmtbzizXaAi2ufj3u5WSUXGPHGiTuHzZth+bc +eGSsWvf11YqxJpBfJ3DK6DkZuedmlMfmGsFNToKr8IiMF9lfWBajDSBu/HDF +7gQy3pVIub1o6DMMmi7tVQklo3EU53DrRD3oM9p9ZX3J+ELrbrrASB305CRY +jjmTUcyp8HLjdC3Ue+ZkLbAl49vTxf1TxP1r/8QuXHqMjI9vNOl3itXApFEW +beQgGWd/KRuek6iGjfbhG2q1ybhN+ai+jNQnSDI/GB6jTMa+vctF16pUwRl3 +Z4soWTJqW2yltKRWQsaBRQPXmWQ0XTAu71NWAVunvYusVpAx700j94N4OWjb +WZqqCJExaUvRgKjOP3BvOtVc7xcJlV1WUv8KeQ+q9p/TVHpJaNAkkTQw/g7M +4pxfSbeQcLukqXGtcTG8uM1fMfCRhK5k09bkpkKw+qe6fqSIhJKq6XNX3Qog +/rnM8V85JKz9M8+1ShBBxMN0fX0qCRO2PZBadD4fpscmXzy/Q8KqersoUd5r +2HNvQVZFJAm/n3r+45JmHpRJDf0uDiAhHtVmGInmQK2l7mSeBwlL2/QzvYqy +geHtr3vdnoSWsjoj54j7/4s3axYmniTaj7uqbWnMgJq8LdzHhiQUUD1zMYKR +Bhtu/LEnci9RX7WNH7fxb1i+NvC9myoJuZOB/VtiH4FcXGm0qiIJk5b52/SV +JMMtBacqNSkSRoa9tBHemQQRvsFlKiSi/epnqlkhiQDpfsvFRYn+KTrMsRPx +4JbQu6JvUhwlbeuCvI2jwfdJrt/soDgKvLpm4vA8AopjbTWG28WRq3PMrGbB +NQjXDMxprSVsWSm8LjMAYgoDPdNKxRGXXI356cSFofODaTlvid9TusIX1HnC +h5rCO28ziPaGW6fWEu9338leDUkPiLjNG6edKhcgmJRUxY0jrBvde6HSGnxU +FVTvhhC+Nnhd6/MJSFO91X/zL8IW0xXxZUZw6rf78RuOhK3v512V3weG4VvJ +ttaEDfxG3W20oLTjdOj2o4QvmZtykpUgyvy3i+5+wlwKT/y8NCRk2z9S1py3 +WkLl/tWwpuRQDFuJsMCyw+HDM7zebPKHLpl5T4m/GO/mCZfudO+lzXuUm3q8 +jPcwoaWld+m8I0tjMh7wfHXMwkrnVs+/n4+IJDnzWtN0ppJH5i0QeeatFC9G +Pa7xdffq/877JYH59GHnvYOt/7fAwNv/Ae59XfQ= + "]], LineBox[CompressedData[" +1:eJxd1nk4lGsbAPCZYcZYxgyFksoSlWyV1KnD7SOJRImUiBNRIpSt5Wg4tjiq +E5GlE0oKJXRElFsHUYyQJWSXfSZLsvte53x/fc8/7/W77me9n/d93kfulLv5 +aQqJRCKTSaTlJ19NxX6benEk/VM6f06v5klexH/Muicip5P1/XMe+8U/pt5V +ctX5qWXbyvC0ZT+/oHs4RWcpzq3QM2HZsqIeweU6xpJSspY3lh2/Q+ZSn06t +WwpHMnDZN2Ofuy3oZA5HjUr4EJYtqPijgQUiSpOVrLOEb60o0RGVh8d7tL4N +2hDWMHi8lK0BlYz++jdmhDuzrwoOaYMObXFjrR7h3ryI2+/3A+/zVFmJFuFJ +GUpRvzkoupyUe7aZsGN4z/ObNhDTkFbut5awav5HJXAEG2erNrYY4ZEg9X3P +z0HaX95ZQfziyHYcNzH/egFUO7yrnKbFkM2LCZ5/7ge7ynof7R0WQ92mTJFp +eTbcrS0Ys2sXQ1R3JT24EwTbCzxCjtcR8ank8AMe1+GIbUyzaZkYsT6fcqGw +m5DVds9LvkAM7QVcj2VRo+DWqpWcsQzCb5sebKDFQtnlb7GLf4qh7KHcwqsX +E0Ar/1nG4G2if7P0KUlqEqjktF79GEz0p1h2QfnvFDgZOhR755IYasjldNbc +SoXeQDuFu25imJR1mEqKeAy83fquMfZi+PF70scUgUzQ4tCXLlgQ9WkSY8Eh +WUBZp212wFAM98+oFfVWZoN8dBPzl91ieKzI/bbqYC749x2RtlQTw4HZJjtn +Sh7YTeh075UTQ7+DURXbXPLhSpvwpLgEMd+xuQ7tilcwPKzjs06QWD851Ia9 +8zV0vez8S3Gehboqj36ozReDuJ0jCH9jYdvnlRJvrEqg4u3RkJFuFnqIvpa8 +2v4WXpG3SGU2stDeKNyTZl0KJOfTq568ZyErXtjStrUMPoSJNiW/ZmEV6T7b +PeId1AXHdfhls/CF6r2zmlsq4SREpR54yMKKXYfUnWbfg876idYTsSzcYEhS +/lZVBdYtmjbGESw8tMPes/A6B+YO98Vp+rNw6IZx1/PdH6H5oa/cgicLx/c6 +S8puqAXBdR6r+ZyI+QScuLZNpA6KjzRx+I+z0OR+xzlx4XrIdpTl9JqwUG9j ++psTc/WwaVtzOALhlhmncXIDTChOvfy8jYXpzRtdPaiNMDP5Xa1WiYWy14Id +46YbIZjt6FC6moWUEqV6VXIzmPS/6LrDYOHkzrTUF9PN4Ox17uNDMjGfMwnn +M4c/A+uq3MzJQSY+is9KCZ5ogcoy9T6NWiZGv5xqKx9shciwNSazeUwUbdo0 +XDbZBhXkD/vYfzJxqFKFI8n9Ahnv9skHhDDR1TTfIqi7He7SkvwuuTKR/Su7 +KW24Axbmik6pWTCxhBfjE+nZCa4uL9+1/MRE+kNYSP7RCb8p+jMoskyUH8iI +3XulC8Rj6f19Akxc6z+nIjrVBRkBHntKRkXRpN6+Te1KNxTKJyocbxDFe1kZ +SW4/uuEiK3rk3GtRPDuKh5U8e0DL96yGzwNRPMXNMxH53gMe0RS6doQoTult +uvnFvRdeKF+ynvMQRZlA3Se3JnshiVEUqWklile2p2T85NMH/GlvLZRBFF1q +cl6d5fbBhYJHMVKKonjMhQSF7l/BKtDUtUREFDnJX7N+7v8KhqX1RafGGWhz +Wzlwo3M/dJgrcv2bGXhbhmzV1d0P3NjduVbIwOixt15OFgMgcFR1YuMjBv7u +5rJJvnoA7r7vKX8RycB8j6JHLnsGoTbC6UqRNwODTKyY1NRBWFlenl54goH3 +7I0Kg1cOQU3ekJuvPgPZNo4B/peGIFp2JEN5MwPDLdeYHO8ZAnJTwftjTAYe +jhZf42s4DH36B37V/yGCb3S/j5slD8Onza/SFb+IYPTPkS1li8Owu3zkXGmp +CGb+4XlWy3wE9mcNM9ozRTB33Ser00kjYC9Wocy7LYIUDYGyjWMj8G3zmdai +yyK46ontzYxdoxBe2EcLtBfBhXH/WnLgKCTwbSzn2y+C7PN8IjfejoLlXU0n +koYIJstrmCotjsLqR+sDJiVEsEjW9uqT3VywcOGopy4I48jp/yTru3MhLs36 ++MEeYdSK33xS+CEXQrfmOnhUCqP805uH4uu5MOJZQz6ULYzOpWLf1ee5cGJL +pfTGWGG888TmzboNPNBLCHn3yl8YvX6/Rkoz4EGtFcuy0kkY1ycZPljjwAOX +SF/bGhNhFDO+WGV/jQeXL6XM/KEpjIm3Xkp6x/DgTns6y0RaGP3FLwZLZPLg +2K1r9ACSME54fVpY/4YHt6eloi8MCGF5ov0ZiyoeNH6OjD/BEcK86tBGuc9E +3POdJjlPCKujWDWd3UT7wHajPxOEUL5RTd10mAflHSWQFyCEMd70nOwxHmRO +nKkMPyuEi30mvlpTPEhkj1YfNRPCFV921FTN8ICvdIdTh5YQpkgleynN80Dc +wrije50Qhh+Vu5G/wAMV25/rv/ALoZS0UwVnkQevZcat74wI4sioocGZJWJ8 +y2DbvXWCWLKRWlFCOLGlV/d8viDmvY92GCJsJUnPt0gSxNYqgbszhGU+0NO3 +hQjiztH8+sXl9lrV6tVugjjc8vjLEmFtrm1Mt6UgmhtGLC5bZX2VL2+PIJ5w +WFpa9m3a4ki+guD//vffgOLM+HJJUBBV0/6Nr1k5+PQvHh15SX3/tM/wDRN/ +1kRHkQRO97INLKjj917TUVnb+uPy+Fb9BqeNU+kYKXZpyyzhixMOR7jhdMxX +2/BhkPBOE1N5hicdqeIRhrgcPzEfNHCMjh65debuhAt6Qk8V6tBx6Gr0+w9E +fnzkm+qNlej4dLS2roLIX+vTcUVLUTqyhLacAyK/B3KGx49OCuB5jq5vKZH/ +bW6Pj0i0CeC4ksnpy8T+KCTrqX8oEcDMnF/C7hL7VyT3ZHguTQAHnzJUNIn9 +rT5Wv2fwpgDG42rBqB4eDNBbaRxvAZRpdbfZRbwfl90fnXa3FcCU77+Y21Tz +4C95ndQIAwEcvcqR9Srmwaxprt39LUScvN/y5lMe5G0deeq+QgBXSUYfo8Tz +4GokxXfnDA1frI+r8Qngwav7bfWFnTRku2xP3+7Mg6TFQLHCChreIFc83GzM +g1uNtPfZz2jIODIb2qDEg2lHU8nTMTQs2Nkps5lCfA+uF78wr9LwvLuBmkkj +F4LQMXjHKRrWJp8xpqRxQeLD6hIpYxqGpTTGrfLlQrZppu+YOg3tL6+3mtfh +QmkY5W2oFA1jbCQTsmlcuMZUHL27RMW1bo8tmz+Mwl6mYlRyHxU/RVgP9IaO +QkDZQJVTNRWblbjMB3tHoWLyeoBCLhXHUp2jrv8YgZcePyRPxBHxGW+J+LQR +WPdgk65ZABWlG631DI6PwE3Tnb27nalYNtOqvos0Ar7PBWV6TKkY3svMMXky +DGcjCjiBmlQ8ejV1B4s4//hzd5y6J01FJw21tcndQyD5h/d1LwoV20JUswIC +hmDbwO0t+gP8GNRUve8xcb6Wf7/4C6eGH3NNyZu6MwaBckjO79NLfvw9KtnL +VW8Q9itkCtbd48dZFwerrcT5TX06q/Z7MD/+pnD81knbAajOUqrRPsePWzXu +VHG6+kF7ad0hl8P8GCLLWW3n1A8bqK2OR37iR06HP1/U2FeQCPKa3L6eH/fz +eJonPb+Cf0GtVC2NH/Udmlx9p/vg5p65NYNcPtxQszqWP6AP0rUoCdOf+FBG +u+zV3cVe0B6oelpaxIfSCu1ylkG90CLuvScshQ+fPZj0s17qAe5Epw09nA8T +cgQa+gJ7IF1utR3fBT5019Wwz6f3gGeFWtt3Kz4cylqUlg7qhixF+uhD4ENB +naMdhvRu+DH3xu+AIh/65w2WSwZ2Qf86vT5XYT6carByPrrYCWbTYX3GExRU +VJVZd/63Tigxfmov+5mCbKXTzyznOyD9yB33HKRgUvazyGt8HfDzEyO74scU +lCn4LhrJbIdm39bKv29Q8OTRZx97aV9geF6jIsiHgs0Gp55wmW1An3P4j7YN +BUl1oeHG/K3AMXBI8NCjoFbZqt+SFj6DsKHSdXtlCvJLT+jU0j+DeUn5mCGL +gpJ/D7zOXGoCsXFl7vAPMp4RO9vykt4Efu4nPgh1kpF9Rar9lFQjDJ4+qyBX +TkaK2Ve/HPEGqBXfw5x8SnhFYei07Cco3TXk/SaKjO1XGtMoa+tBTcLWw+AK +GTs/1c+/UKkDXZ3EA4YOZJwWKo5r1q8Fm878ZF0jMrokJEV9NP4I33bFRUxp +kPGQ8rNvnlUcuCFk9e2BJBkHtnJHHb9WwadNXTuq5kl4yzmkoHrFB7i9oMbM +7iWhSomd5bxLJVgfsHaIfE9Cm3vnGnLT30GcmdEBpRwSnrlQY/9sZTkEJPGv +3B1PwjCL6TviUaVw0eWelQGbhIc28oWaLr2FtqEZNcYZEjabWvvURpeAWe/2 +gKqDJHRsUDMaXYNwTnn3C5omCWVdS2kLXq9hUYn/ysIaov/NXIXXS68gITGj +aJhMwv2G5xd2+OSD3R+qG1Trl4pJRr0S81p5kNj6ptTzz6ViPGUwEEHc75WL +B5QyXZaK/RipL1YT9/80Zvxzu91LxR5DO6duN2XBLnOaBoW2VMyW2KBpycyE +fPJs49qGxWLZi4/yyOWPIcw4BNRTF4ufV6azR9+lgltw9T11z8ViVqSH8Pn3 +KaCrmqjwRJeoP1U//pN2Emx6p3hfTGSx2D5sh7GeTwLYzxq2uTcvFGOsFHmV +SCyExo/pGj4mXHPNH+yi4K3K0QSG10Kx7qqTOwtyb8LIFr21B/UJu9rS7n+/ +Ds6l5faBKxaKSRGyDHdWMEyZ0uIfdcwXk5rWJjQEscFrX/WsfhbhSY+1S12X +gKZhdvjjZcLGtWZWnhchNORYTYMR4SMRypaSbnDcZ1a0djXh/tDITKvTAMes +9hb2zRWTOs3/Dg23hZVpe5LX/UX47RxVcI8FLPS3THiwCR+LPJmabgQ734rT +C0wIbxL1+10awE6wTv+yDGENI7N2+22QqGfirTwwS1glMlFNAd4NHOQceUk4 +6YOKKGUF6G8aGLgQShg1U7P5yVB/XHuGfYQwyTubM92vYz69eitdYdkWv/4Y +rdLpC8s+6c+dIYxWdQ2pOpU5XQeHXi2bxPfD/oJOolGcY034PybtO7FZ57c6 +Yc8HR/81OzxG+3gFNfWb4r9eLv/v/wKBatuy + "]], LineBox[CompressedData[" +1:eJwt1nk8VO/3APARlRZlCxFRylJJSpYPPVQie6JolSXKkkIo+ZD0ISpSKaXs +WSNljTljX7Lv62BmLDOWGWNf53v1+z3/3Nf7nntf9/U6z3PuORJW90xsN+Cw +xYbDrV/fpcX7vyItwPqtxhVCkc8xzoIbRX9t7EOQwCft7avd8vKvKZyFTvhh +lmNbnPm6o8k4hzg8n8GzjblS6046OE+rxIcePzEP8/OY50ISL1Hw+7Z/JeaW +rjsl7XrdKj72QtiEdDhm32NFyTI8oBR0ihl4AzNBffuXJ/tgz5VneURZzMrK +PedSjwEj4kJi+tIc4FwYoTs3noLOyq4ghwrM0l1299d0IMOG+jb2HeY3yZHe +EhehIzLsVoMtZm923lXqVeArndk/dgyz9viaKbKBU+b9XL5smOuknuRUOcCT +/mcT7HWzgFNaZivmcAUuXOmrJx8x/9fXbazuBcEvAy+73JkFAuWWjMEeXxDj +2iJgoojFY59W1EQ+g+hF7pU0jlnwdVn8bh0QBCc7WzrmGmYA507pXvnxGkSN +j7qf/DwD4qMytoFm4cA8watKd8Diz/PiUtkjoHdb5sx7JSxubr1k/OQT/DJq +a8/dNAPG2hqD7jzR0Hc4/FF9+zTI75fWmQmLBeGVbfytsdPA/SizoS8oAc4p +b28zeTANBC7LF94hSfD8dmUwQX0axPUPs5i8aSAoJ8IhuXUaBpYu3tlUlwER +VnNxuG4mGFfb93cX/4BDQSEqtQlMcBEx9Xw+/BO+70mZ43Zngk4jF3fHxhzw +O2/prqfFhA/7rrnHK+XBHfsmqhsPExaOr0gw8gsAzVQHCA5OgXxZGEfl0SKQ +ffBEMDltCho37HXMWgbguJ7X+PPxFLQGb73vfrUY5AaD9X7pTYHQ69wVM0IJ +OF0WLowTnALlGv/QJpMyiFSVN90+woDCogypK53l0LuTjWSdxQB9uSwFakgl +OPvcPfbDhwGejKPXkXY10C2uJnkYMsDlbP0tbXoNPJPavOuIMAOM016Af0Ut +cJe5meQ200GHu0QSBdZDvsP2NdYDOpTs5xXKlmyE4Pnd7w5uo8P30r3Ofnua +QPX6yccoZRI4C4y27NvcDLzfqvRPak6CZbaQSixnCwTvD4kR6p4AgtZ0nChn +K5C8bmhNeE1AzWy7m+lCK1j9Smz9yjMBD6RrrfzX2gCqVrPOZo6D3OpTcbuZ +dtAqrvGuPDcOBFWB3YWsDnAcr6pW7B8DobrFw/WbusBlqs32vc8YZM0zp1+t +dEFUt/lE664xqBE7aiS/uQeSomilG3/RQFp5d5gyrhdMw9NF95nS4EX3l0Cb +mV6QcRq22jNOhXru1wY6q33QIy43zxlMBZxlO+3xDBFy8sYetkhQQcOzq1gB +NwAK/t1eQQWjwJG5F5/tPwDBUp1/jl0ZhayfdU8t1waAK1NvS97UCNxSmd93 +238QHHnr38mHjoCnq0f0G9YgOH3zS3grPwL3Mra3n/ciwXvx1Oj2qmEwVT3T +bLxKgihXMm2r/TCkMbUj73qSoS4lTFyUNQQufCYnMlbJ0P3bMEEiaghSB+UJ +PV4UCGSppfOoD0F4jFye0jQFbkrFRPe3UoAo7KWt8XAI+GT4yV9dKcA0bXr3 +hz4EjB2r3Ke2UmCPmyfx/oNhcJYSLKmMJYNsWekJq6lhqNmtUXLmNBkeh0t4 +3rw9AkYMYnpUFwnMrPaO3iaPwI/okFGqBwmMlbe6yl0ahV/+DokSQiTYTtgU +mlg2CgO6BCOlzEEw1+k1ZapQgTq2XwcZDUJOhuSp8ngqiNxfPXRgeABu2Ykr +OO+iwaQ0Z/iczwDQtC2fxvjS4GuBxPkCsQGIcn9xxWqQBgxLq7Zcx37gJQTf ++HxuDK7jvub0JxOhd2NUqHfMGIjYVzqXtveBjxinMYU1BnsUTY74bO6Dq4b/ +vbE2H4fO7KUg0XO9QBiqOSPzbRyG1l4Vebr1QEPIKo/twjikL2u8zsvsBqrm +o/cmGhOQe93MroHRBbG5N0uk/SeAL9BMIFa8C+y1841fVE6A7G1Xa4sbnfBV +PkH/CfskvNn+5L/a4A5I2aGTqK4xCepC1B8CRe3Qb3NV+IvHJMR2PHiktdIG +zWa6mzy+TYLFzdHd8ofa4GaFkopixyT8iXx8mWXXCugyNZ3GmoR//7n/KSGp +BaQfqYhqSNIhIN4kZ3NXMzganqWCNh2rhwv3DAWbgXWcdlrPlg6XE9VYxlpN +cFFDNyPUjw6pjKB3sh6N4DIXY//rIx3c+qjhTU4NoPTAwVwunQ6KdyNSymvr +QIJfxVUF6OB0w3f1qXYtNHq86rpdh9U9WWMtN6YG7n82NBHvpsM3hYptn9ir +AS9CTFKm0OEJ9bmZtl8lWE73oqIxOszJ7zVwIJZDO1v+JD+TDuR+pq/55TIQ +vBspFjJHh0sWwcXTHSUQmPAxr2qRDg7WyxmblIvhWv2MxekVOkwkG2SX2wGE +UJJz6lfpoPv94m0nsULwSiBqENfokKlNlr9PyQNT23MDHiw6bMikum4mZcNg +o0JIGeZIla+8L79kgcXHlIYxzKqSERd1D2TA3K/8E0uYL7I2ZCscTobmwu6q +NcyLe+rqFjNjYcnKaJCFmZa5JePP+Y9w+Gb72rpnLRysSq4Eglcai7Vub22R +0rvAjXB/FwMqxA5p9u8LRLE//y9+2yfVzkrgI6q9Qvv7PlHINTHgSyx6nINI +6149mnVr+Xgyqt3LUbH+/S2iRGpeSgYqF7N5tIhZ/OQrgnRXFgrcH7aDhtlN +zSdzo1gOkuQgnSZg7nOdlzI+lI/aj27mcsasJjAScEqmECkeVf/Qg+XHLEIq +UN0ekO5Fz4D1/JXEL3C2KRUjmk14xHp+T05sjObrLUHGYaVSxVj+DzoYysZ7 +lqFrl0YMlLD9ifa76umwWI6MVDtc8qfo4LE5TOh3ZCV6V8H/Ww/b344Dwd6a +4tVoaoa1HEGmw9uf6QrGFTVo+vle/N4uOtQ/3NabaVOLmhZEDRxr6fCm+ch5 +nZE6pOT2kP0Qng4/ozw57V80ICVlB4vWVDpk4Mq0+gMbUXzhvfNlEXQ4bUD1 +/anThPqMXHL2Y+f3WdO+oT9CzSjYiXSLHzvf22JPpbK6m9H0v2Whztj510hx +jvRIb0GFof/UWu6nw3EDNX8h71b0fKrUamh5EhzUxuta1dqQlvSHC+Ytk2Bi +nanZxtWOTlS76J2OmwSf9kuCU43t6PSVXv/HDybBjhI5rBjagRaibQ3Y0SSw +ZKaJ+JudCBvz7Hix+u79fUTFWqILadub9uhj9W8X4F2oN92FVHkDRS48nQDl +T+kxRrndaIPWbo859Qmo0PP29fboQSc/G45ozo8D+4mbgRPaveh2XG6MS+I4 +BNhLMr229iFBE3aBA5fHIbt19ZluXx/6RsO7bVkdg7geN56zaURUdaj5iU30 +GLTL1bPMnfqRquOHQiPs/9fTG7XNYu8AIvOcsyofoIFzlZYOn98AkrtXVeHp +QwNLv0I20sAAEhNpPrksTAOZS0UNzTqDyOlXqxchlQq7LmQYNiUPosn3lr58 +mlTotDtEmuIlIQ0T3oWwmlFY0nvIPPiIhIhb7YTCL47CqUtZP+90k5DNl1wp +d9IIWHh7BtWfJqMdwy7mebYjEFF1lFsznoyct4gvvaMPwwlSNl8VOwUlO6mW +3nk0DEmCFYfuO1DQAvOw5/LiECDXyhmpWgp6H9zbdcRvCNJpJs04xSFkLfuw +zGqVAuqBDZYj74dQ1ZypTJEfBQ5PCzuS5oaQxpIArzgn1se8GMGsG8NogOu1 +P9WfDD0Hc6/JEobRuO6NVxacZPhE33zaQ2YElT821jV/SoKCAKn4ztARJEV6 +kFK4Nggrmsq52lMj6L641YFZrD/vEm4hdlqMIln7PYVNWP82FrqZ6/F7FEnG +99g9f4r1JXL+9kMiVIRTzialcQ7AtT9fHuL8qcimr6WYwdkPjE63MDKZip4v +TR9W5iXC1Q1thFF9GvpSiO+s3tQHBxbZnTb8oqEXn+g8mtj8wSsgWSPDP4Z4 +CzYU3NvWA+2G9f+4eo8hF7Xn/7DYuqEo/c6Nmr4xJGxD5by7tQseS79+d0Z7 +HIXeJ8r7rXXABxf/803fx1Ezjz6dd6EdOoj23x13TqCkL0ODOzjaYcK+U0rW +cwJdSVLhg5VWOLhbxWS2awI11zoHuGPzF/69/WKryiRS2C/2T7twCxyR35fd +HjOJfu0sORMr0gxAr5UY3kBHL2irctflm0D6D1ucoCMd4WychncqNgLDdWPM +9RY6GrWjRqsE1IOTxOq3zt0MpEwYSQ2oroX694VBfIYMJB3VElfCrAGNrzNn +2nwYKPC3ueKmc9Vwa8dbtZjvDDRQ+kY6Lg2bT4M9kQ+JgVrzJGMCV8sh5FOb +MIl3Cq3k63ld9yyD9/keuMvaU4iSsljgMVgCP+6+wZt4TiH5QQl9Cbdi8H7K +/9s8aQqd5e/7s3MPARSSeorv9U4hzmwL+RmnIliyFInh4mKiqruCJ7mZBZAu +Ff2iUJOJFoSWVuOt8oDbLCTL3Z2J5ItdJczYcuDZrqms7AQmsg+sSXs08hMo +5MiiTd1MlDkmWPkZm//NtIqiiVunUajHDx9+yAB3Lu0Sguo0YphuuROskwZ+ +5F2TP50xZ/nm2VYlwRf968wjX6eRhr6IWXlxAnwrpeLyW6bRQBGXwIGiWLgZ +02dguHkGNRokys9uiQZpXl3Xu0ozCBe4T++y3yfoC12OjXCYQdH3PsoUbo2A +uNgDqXqfMYt28m0wDQezkrdF3E3Y8wR5pdBXryFHx2HHAMcs0pDGaXT+GwQy +VgVKJxVnEeF40AO7qGfwX5ChQ9SdWYTjWFLDC/nC/JmrHsGRmBNELzza7QUC +tg3F/jWzyNfSb2e9ritIvA0UeLmGxX0GRrv1HUH9UsEZ0aNzCCfcP3EqzgbO +Hjz2GG+N+S2ferPFNVi+tnPqTgRm/qT5710mUHrwNvpciTmUpz8nTwc81tg+ +9C9jTlI6cDVRHdTuCFQnH55HOPvnEucNjkGm6htln2uYGdpVMmz7gVv4YpZ1 +KGbf3NCPEzzgFxLC0UhYt+CrHDcWnrh92y2DWcy4I9HifkN42jRjuVV6AXNt +kJtsJZ7T2ege2Xzd4qKc6nF4njnm+IaX68Z94q90wh/m2XkmueivXXiMJPAK +qi5xlsy/xvlG+RaNj9LNuzgX/7//Uwr/B9Zv0mg= + "]], LineBox[CompressedData[" +1:eJw113k0Ve33APBruvfijoZIeBuVKJIh09279EpJKEUUJUODsdKboUgqSdGg +lAaEUlIKGcvYgIpMGTKP4V7zlOF36rt+55+zPms/5zl77b3POesssXff6chP +IpH4+EikP2dDz0hTOd0pIP09OvRe9ntnHt3zP0dHR+jby5F0i0L+OnrUQJGj +0Dp3Ky77r1f2LTjBuSvquCas74/D7cZuP+ZMGrDKQ/6ZJixNq8ISzmGmujHd +7I/PW9aodnGKeu6XRwcRztslE6JIgm+rXG9xMggHyLy7sUUc+n6nqR7qIYw7 +1VfrL4ORRoPaSNnfQHoVtuCgsxrQzLft2W1C+HT+3pjtANVKGeKyZwjnZJIn +OozgssSxhpFXhI2O/2wJ3QWm4bd9d7YSjusIErm9D0gyFIUCyRkgbV3zfLDQ +AQo1B59mGBIu/hzLDnYBjSw8mnmKsN1586C543DTuEaj9BlhT3F+MPIGfo+O +ukMNhJsdhyrWBMD+WK1gOmsWSBknD6TkBIGz7vDJfAPCeuE3jvCHQKDzCp2Z +E4R/52y8nBIGl2MeCBs9nYUDwe6DJnY3IcbtWopMHRH3OtvWvvAOHIntujNB +nQOSNSi9XxsFsh3ngzv15qBl4UwLHz0aWjLumlu7zsHgwPiLV7diITxqdHlL +zByURxfKqETEA68jXdOjeg5UM0NDrEqfwoH8ocWXhOaBtPeXShE5CXKee0q+ +1J6HcMGU7v0lL2G14tG2/UfnIdK90n2mOAXOpz7JlHtExGOUrKsH30BowvZv +3d/nQVrvNKtpfTpUSqbZ3ucjIVW9wTvFLQP22HVZf1lEwvBPvfe401lwcHhX +4UZ1EmbEcJWUfHOhaMPYNt3tJLTqfH55XCgP5MiZlvpOJNwcHSC52Scf5mUz +ywPPkjD48wYj/44CqMlq+FoeScLFM9lLM/2KQIim1v/4NQkDxPf1Z8h+gNyE +Iq+sEhKeLD6p/eLFRzj2yTuoroOEFmZtx3NcP0PM+mPzurMkfDqRrRq5qBRU +dobfXSbJh3nnao/MlpTBm4naDHEVPtzMyKcYJX6F9102m7ds4cPGvPpwqQ3l +kL+Pf/f5g3y4YNb9dJVaBWyX7azg9+FDp8S9D50XfweVsN+ulBt8+O4/ZsoC +WiVQLquHSSTx4SmhjWFmjCo4XJYo61jEhx2KrZYmfNXw/vZGlXdNfDgXlW9j +JFIDbhL0g/cn+ZDMTNzymlkL8Q0S87ksftwhRxO1EfwB3xVhac9qfpzZUyWt +zqiD4Pz4lN0G/KhzVk0zh1wPETQ8YGTDj3GRvPbQ6XqIbJq5aeDFjy2fOPIr +BRph+fTY+sCrxH4vs9QXTjdCwn323s9P+JH75al4oVAT+A8feBCRz4+3R+Rv +XaY1Q+v1fpP4On4kjWVVfSO1gHbGvfO5I/wo+0ykMe1CCyxcdWHFQpoAKmTH +PC/kawVz48ddU8sEkNoZFWbn2wr+Y+3K7foCGHVUyWf3fCto9h5oZu4RwMjT +CQnuPm3Qdogk9a+HAJJmugzq5ttAalVjVm6wADaXOLdY+LVD3KO+M9nRArhc +L7Vba7wdFB7KfcjPEsDtS71GFXw6YF3XFR/RSgG855as0zbaAWppsqn7BwTw +G+OkqKF3J1zRaqVpUATxVuYm5pHpTnARaKsw/UcQlRQLM7s9u8Bgj5DsCW1B +1GHa5awZ7oLHww7f68wFkabSqnD6cDdYb5p8UnxEELOc9pTW1HcDZWEyf9Z5 +QeSkVPp0WPSAvnb056EoQTTcVeAcU9QDR1pSJdXSBTFutlljuW4v9OvMBkx8 +E8T4Y1fybiX1wmTTOStSjyBKznTPXRX/BdPCimlsfiEk25hkDfj/ggtnaWed +ZISw34on+6n1Fwj5iJUVqguhV0GxGcWoD36u2q6dsEMIBfdXvXV72gecJQVi +ZU5CmJI7EZ861we3oq09pwOEcCh7if9qq344cURFz+WuEMo2iMeWP+mHZ51a +CodShPBG49Hb+sP9UNtjH2FfKoRpQcO6zZwBCNUo8YhvF8Lh4/3rLwYOgJaw +RcXgrBCuqXBc8vjjAOiEs83zpcioclw0R4fCheIDovrVKmQ8JV1/LJfDBcOM +lclDW8m4zNRZuOQUF8z2Blwxtyej4GjQd60nXHj9ghas6UNGeKMnzajkgvDl +bOHlt8gYeHV20c05LvS+SJiwSCLj+iZ1cF3Gg/d7U49e+0BGdlJS5f4tPJA3 +ndsq2kzElfct2OzIg6oJXyP6BBkfLNXea+jPg4CVsmVSbAq2/btxcOwOD9Ki +J7MdFSlIO7VYo/U5D5qjJ1RzDCiY5aCmZfKOBwctVhff2U/BCdfrtZ5feOBi +frc43YuCx+3JUmvreOBavdq4KYyCapr1q8PaeTAeO6m39SkF34m2crf28aB2 +2bSJdh4FYyfjjDcO8SBZSb5jXT0F0+eCF64Z5wH9zNku12EKCl88HnNligf2 +u/ncXtOo6OOo5i4/wwNm61sJPwUqetIG95fO8uDf9GcrL3OoWPX2vHLJHA88 +xPLeP7SiooxduIDbPA+WZ7CLJjyomGK+STKP8NeOCyZ7r1Ax9fEejV+Ey4vW +jEM8FXWoMyunCVtz2aJHc6lIPZxaOke4/qF0/P1aYn+LO23zhNsjbZ6LDVLx +1dD43B8bGH4vp1OFsd1hfv6P7/oc82UvFcb/ff8H4fQk3rDSEcYVSf+Lm8xu +WPvUQhi7DCv+Xu+d5tDr50asXyfV8Mdr35axIi4KowcMlfy5fx1Y5qZFC6O9 +9euTU4RpYvI1CzOF0XomKrOX8J070gyBCmF0nJR+VERYOk6jdPSXMJoonyx2 +J7x0+tqoooAIngpKc28k6rOkVuSxs5wIpmdnWJYR9UucLcjt0RDB4N2KTdpE +fS/XJk9wTUQwctf+c2NE/e025KbPOovg8ah9PV5Ef3LbKG2mASL4YqK3apbo +H1vC68azeyLY2LjL7AbR39xJSfuQVBE80vq90Ino/5DCyIMXZSJ48/7aN03E +fCxqHLat6xLBBwyajyoxP1/r14YazYvgwOi3+93EfNWFPvyhJyWK8yzqAftk +wsWqz7TXiSJtjU27011iHozmu723iuIFN4+inEAetJoPPis4JIrrFeR9Ip14 +8JYheTX8jChedhxKVyTmf4HkqYaYCFE063CaMVXgwbHYqeTsl6JYohaW95Z4 +frZ6Z3KlP4ning9tgaPVXDgr8MLkd7Mozno+qbJ/xoVEicJ1XVOimL3lRJjw +f1zY7y4ZKCFGQ+kADcXtBlygmIdYGivTcPL9PQsy8Xxr++neLd5Mw5XPirjl +JQNQaL14umgfDcuqVzfKhA6AQd7KlrJTNGz81DA2ajAAxu7H1KTDCMds25s3 +0w9b4yuEXRJpKDMHb22f98Oja8dI/xbSUPw/oRdalv1wNn/joYMNNNRPUIgY +EuyHnb46xhfHaFh7IMPo2ZM+eHnW/RGXTsf3E24pNdv7wEHjh1jjCjqWDZwr +tx/8BYwTh0S/Ax0bZ90tHYN/wcbp1R6iVnQ02qFIZS//BUepktYmx+mYsM2t +ivumF/o+qx2SCKWjt/94V+KmXhitCv0hH0dHfVWBq4dremDESbhy3Ts6Grtu +EnOy7QHfTQXawTV0VKP+67W2vxum4h6s6B6kIyetdnqbWzd8zUpSLRVhoM2V +a9rlg12gLDP5ZHgpAzXi19w29emCAJJT3FJ9BlY+DJ6+MNkJ+1RZ9Nu7GUhW +UTSwOtsJ4eLcW9dcGRjy7jmjWqgTss5wY8IuMdDaQFXRN7ADXNYpLap6RMSj +vpf7UTpAwiVk4ZIsBkq5G4e2n2sHCWs5rZFKBhq5nFl7Za4NHlzvz6P2M3Dy +ecrdofNtsMis6ZsCmYnjyR9FRuZaYakVzThYnokm13z8PgW2wjlxt043TSa+ +9UuuZAi3Qs3uYUFbMybWGLswpoNa4E3r6/Dww0wMcNI7myvcAhdHI2JKA5kY +KfGtzZjaDG1mafLboph4P2FM6wujCSIOULNN3jBx20CyjZrMT+BMnGnc+4WJ +WUvHN7WzGsGjVuVoYicTW8L89YwXNsD2fwLfkUgsfCY45ipOr4dQ5YuWPBoL +rRhKR3hCdXDd9mnCvDQLp1WPin1i/QBWlc0GCQUWWqxbTr9CqQULtzUB29RY +aC+5eTiDVQOb2yItDTgsnIH3JRX/VEPy3QhPNGahBN3pIEO2Cn56vdpoZ8lC +B7EjyTeVKuFSxD6LQAcWUpeFGl5U/A4/r8WOO3uysEPD/JyVSgVMJJFN3c+w +MA7mbE23lkMoY6bXJ4SFOT6p7J4nX6HzFlP36W0WhrfeU77WVQaZtqlf6x6z +sNHq0V0QKQVt/pGhL69YGHChX8B202c4U2Ja2p7DwqKgKoZv3EfQq9Hvnv3M +wiT5JzWqQ8XwnrwtU7uGhXqOjiqLDxcBlzUos6GNhaE7R9UGRwog0nblex0e +C6MVabpB7vlAqfBbaPebyDffNLVcPA8GLx5Kv0RlE+/rjMShPblwYUFH23FJ +NrbUVxQrpWVBc0lgsf8SNlIN+iwYdhnwpuD1r/C1bAxm2dmmTqTB9mbSh0Id +NgaEZNT99+MNXK/uUc00ZGPeqqko1dYUMGfaN73exUYPco5M+fuXUO06tfGr +HRtfTY3L+kISVDGX9gy4EOulqJun0p+C/5UOuXJvNrL0Nmj8CIwHrlKxcNUF +Ip+3pxrsC2LhB1NrQ+MNNqqqTggUU6LhovRHWfIjIn/zmzuKPaLgpUOPgXoS +kY90d0XW4G0ICnk8vTyTjdEXR29NCN4EuWo/Z61iNuLh6PivN8Ng3aHuBTu+ +E+srBPLR6zKILPDwu9hE7HcjMlzzUhBci73hee4XYWkbL8GDAXD1uGFiwARh +5QjKodXeYO4sfSdGQAwDNGULz2w9AWGPfD9+YIkh6RRpzwY1F/BOOX0pWY6w +XZCZ/xIHWNVzc/atIuGQji+bLu2DnfaYXqxJ2OjSjk2dOwH5RFeMbSKsLCFV +mGgE9d7uy7p3EDbbqmc4xQGehqPDTxvCq66PmISsg08LvG3GnAkPfvtZr7gM +ZHXlI9hehDFUWXeEDepBrUGm5wiTjB+eV5nnTCZwBM2u/rFOJi+4m6NFX/PB +4t4f4/Lg6585OpHaHN+EPzZrTPOM52Q41U7Gv/ljUphmrwenjcE0uJn316/m +zBZzyhscDGLL/pqE367rf2LZktQrxfD/////D7e4XRI= + "]], LineBox[CompressedData[" +1:eJwt1nk4VlsXAHCiQq4M7zxIlEJKQhO1Sl1KoZtKXRlKUZmKiIhwK0pSRFEh +GUNJUniXyDxL5nnM+KqQvOI77v3OP+f5PWsPa699zrP3ylP2f51ZxMfHx8/P +x7fwblcVmvvUIQl8/z692VYrD3kO9f3n3DC/HFAZX9r97V/L6G1gcoK9ZT63 +zf3r954v7TjJv+yMeCJShF91ZzCiOLvS9Wls2oKdttiTizleusUX+FcvuIwv +fWM/x5ff/PLSjYS9rCW25f7mCMzd+iixg7BMhTJZThL/XFGdr7WfsMo1GZdT +sijoGy9kd4xw7tMK88qNaJfHaDO3JGyNcNl0J0asTrK67ECYdFolS2If7i0v +V7vrQViQVv0r7zCmhYpmFvsRNr26dJRngmWa/aUFIYRrPMiZZyzRbPUhmaJo +wkkVGg7hNvhOtVlpKGUhTkmJoDuiv8+Eq0Q2YdsnpVLuriicEn+MV0x4Sbj1 +n7e98MBvt+ElX6QglxV0eYDjiyL32j9Suom47tK1J0j+GKBjrq87JgVeOl3f +YhoCMbvx6nnNGSmIfFgp/172AZ5JXluitpQEkRPKK9tIofg59kebvhQJ+Fja +W1PUwzG7x0DdVoYEMo5V+2qokXhub+nu5HUkUPnn7svV8dGY59XekbqFiFdc +MBA6+wIfzvtgxl4S3HMa0FWMisf1z3WOdBwiQbUWd3BNTxLu8o9QFjUjgaHZ +Q3/vlFTk07sdwrtAAl2Z/FX69a/RRyXsjegVEoTRGeuf1rzB68c1SLL/kMB4 +57SUAD0DteO+Kx4PIoHQS+XKOeNMfF2pW/PXExLE79ALmk38gI+3qnwwTCTW +Y2w8utowB3VK1I87ZJDAgefRG9KPaB9Rvi04nwSroq6dzzz/EbX3/U5yqyba +b1M4HDKch62MA5+9W0kwXetbkWT+Cbkb1mkFDpJArcJ4K0uwEIvOKZ7MmSS8 +O8eoP6wI9TzyRFP4yRDczuy22ViC++qG56LFyHCg9S/byelSFDXcFPeeQQYb +qbIA/5fluH+56P26NWTY07vcfGdgJR74sWiThBoZpkJyRG2Vq3Hm1YPT4kCG +0rhHcbaSNSiX9rZe6iAZ7tKXn3YTq0Xxxsn5LcfJ0Clgw4udrcUru4ounDpL +hvT5kq8O/HV4x1fVSt+RDPVgGJUo8AUtR7JoJp5kKDQQ6haf+oLvQutF7e6Q +IYIxa7xmrh4rrZa/iwojQ3xCIvfmZAMGSXSPh8aQIWYiRzvoayNWze21vf+a +DF7ynteejTdhjXjxs5QcMozrGupvGmhG/bMtpKpSMlzJdPC8/70FXUJu0dMa +yLDkmcI66q9WPDN4uC6jhwyKATU3R0fbsMLrVQ2Ok2HdnBnb7Wc7zmgq/TM0 +SwY++xLdo9wOjNbcfJUuQgFNA47GhEcnTpHb+JZRKfCB88mljL8LxUhRmtJy +FEhP7jxT6t6FUUemPqirUOBebKiB3KJunM46x7XTpID4U/8Dkde6kcdzfnNe +lwLeO99XRE13o6sn+cP5IxQQ2YNafe49mLWm1vmOBQUuDaj1KUz34BUpGec0 +OwpczBW+dMWjF7saly4Ov0qBXo9HvL75XhTL/2P/i5sUkMFPd39f6cOM8Zia +N8EUCCq9LevO60NZ7RPLeyMpIHpvv7WhUz9us09orX9JgciZ8IZng/1Y0e43 +UvGeAoY/dm6wtBrA1Y630voLKFDueDpZomUAf+6nZQl8pkA2GsyeOvoV7+oU +uu7uoEC/ObvkaNFXrGGLFWsPU6B0/wnfkk2DGLh5wHjfNAUsbgXaPI4dRAnn +9mcOglSQTDk61yM2hGLTZxUiJKjg790SfNN9CN8d9tvtL02FgoN2hv69Q7iq +rkTisSIV9N8p8NbsHkbRsHC5lM1U8CyTPVb2fBgzvW4P92hTQanwRLk6bxjF +tcnarQZUENetNjM6OII9uiptjSZUkLX9deJk9Ai6OZ5T/mlNhVT9JL/O0RG8 +07Upl+5MBfvLRtSb20dxv0JY95w3FcSyn+p6+4xieQgja3EgFRL3dDQ25o1i +yd719eIRVDCyUf9yRmAMbzPM47TiqVB4UVkzf/sYFqnKZdm9pcKJ9LCkMKcx +TF3lZXoqjwrLKqXus+LH0GQ7751LJTHf5FeNv+vG0DdkqVFQCxWM7WbCVPi4 +6EBSjS0foIKrp3217mouCtlO3Sz9QYXpbqcRmT+5yBQ+8LKcjwaPfAQTR85w +8XsJ3hgXpYF4U7KArBcX91R+ek5j0IBRXDszHsbF3S9PGwqsoUHgoqPynclc +FEyVebN8Ew2UH1yPDuRwcdeknbEM0MArvINcWUG0N29589cBGqwvClJ+1MRF +bkzXUx1jGtD9II7ew0Wqsms5nKFB9Upb8b+HuSjQvP7V3xdpENR3q+HkNy6e +L71c73aNBm59VaYHp7joEXz0jsltGtwekNta/YuLRj5Hps1CacDyO9e9YpaL +sW/7Yq1iaHAoZHt31W8u5ogGLwt6ReS/RWRb1RwXDeI+p3FyaJCowBO8NM/F +O8zkwZRSGhRuz3pQQPhuekx9bj0NxpyCLIYILwtSXtLQQ4PlM7yDM4QP3K8b +FhunwdDpyZY5wlttyRbCszRYts6qbZ5w1O0BmpAwHVyO/ZxbcEVlw421ZDok +OM3PL9jpyPHNB2Xp/z//x7HorZOL2gY6PNT+L96+LcVWazsdoh2a/u3vIOAa +u1+XDuo+sc0LLhy3CHE1okMrdejjwvzF73qlLpjTYdi6mbmQ39686TpzWzqk +f24SXcj/xjfFA1dc6RD3/VXOJ8K7LccWB9+gQ2rq6mI7wvm8qss19+mwKksi +oJGoD9dG73LNU2J815PLeoj6PVxp/O5LEh0cjwfq7iDqu4jnncp7R4cj4rYi +E0T9B6k7h1YX0EHRer7Imtifq2Ih6ZK1dFgkIPkljNi/WgVh9ZXtdNDzTBpP +JvY35pbY9KZhOpiW5BhdJPZ/m6jqReufdLi1RXVPBfF9uJ/jupoJMGBnQkSk +XiVRX5EdBSfEGaDzfevvXcjFD1WJhS4sBiw5oWQVlsLFjMZ4kUcKDPgjYtTm +3CMummZBu7sGA6j8Zuqbr3OxNG/Kyns3A3Zoz45fsOLi6z92qN0xYMCbwRd9 +HB0umng8z3j3NwM+Tr35ECTPRW+Vx1cHrBmgZG3kHjQ3hkY5itVNlxnQGVzV +zvw8hkN2Tc2j1xmwpoL0sDB2DHmn6WuXBDIgde+NlQGXxrDGw0UJwhnwXnxo +X4fWGB6+aM/RjGNAtniKVsCSMTSIn36glc6AffGeV2wKR1FcMHKFeS4DWLG7 ++jNujOKB542jNyoY8EPlbrqT1iiqsZL0HJoZcKrMsmLvtxHsfB9udrWfAW19 +tfPWsSO4NJyd4f+DAedtKqKoh0bwe2ROXtY8A6Q3PJYM/T2Mfl4/TVOXMeFs +5mFvhfhhDH+epxVHY0LzheDbd3SG8eJwUm3uKibIalXb1w0OYZ6zfGL7RiYM +WNaFu3sNYYM8bpbewYSWSxY78hlDGPbqm5HMfqL/1txgifRBrPN4my5/jAlH +J+9vCt1F2D60VP80E7aoP9woWfcVDV6IyF11YMKTiJsnOSe+onM6ddl5DyZ4 +Zzjd2tw1gKe+7k5w82MCR7BPd9x2AIvN5v0CHzLhriibLcPtxx+8JpnCaCY8 +DhL6ttulH0sv6utgChN8CxtSH/H1I0vJ6FV2FhNEZ/54XH2tD1WFr1S1FBHx +j6tejgn2Yb6i8sb5OiboasnMqnr1Ip+8u2xfFxNW7sRIh989yP/nYMnIKBNa +a6XOb/bpQY+EgTfTM0w4oyTxzH2uG3M2ChrJL2VBpW4Qxcu7GxMefPChSLFA +aXB6fkioG8W3LpoSkWFBoQBX+advF24XsJZeuY4FeZkJV5uFu7BL3Mxv+1YW +XOa3Tjrl24l62k6JLntZxH18vXj+0k60MJD72/kQC9xMtvorMjvw+9PT+q6m +LHj6wGqWJtmOulJ5P5+cZ0HxnnbhTEYb0spe9xA/AIw1BPdGi7VisMl2szRf +FmQfvkFyXdqCXyR77uE9FjwWd86SlGzGIFHW4ponLBCjJQStFWpCCSXHDYKJ +LKg2IYdqijeilpzl4K+3LEivrQp9xW7AXtP+vh95LOgMWVViQq1HA7wRtryK +BZQNQY6v5b6g+unMgA2tLDDXsD+pwq7Dok4fSclBIv/kNvfH7M/I9rE9SJlk +QbAw3ePhxlocs/5aKr2IDc5RHurJajXo43h9TleMDZFdX3Rm9KrxwcckJxcm +G/jKpwYk4ivRYNDezXotG2afegVUlpZjlfpfGlfV2PBJSeYKg1qGpQUFavd3 +sSE+7sWhNftL0N/t2GDpQTbU3be55hRXhJNCPsqFx9lgbuwgnk0rxJbmnekF +Z9kQwxmYWev8CW/UML71X2KDpmWv/+Hfefhy2U0HUS9ivMHpdj+nj+ixIsBz +4g4bpiWvp2oI5KKU8es9c2FsWJuQNrHeMYe4P5w9IBrLBoeWB230kg/4y2mb +iGYaG+5tmFe3u5CJbty4Y+s5xHp4R3vbNTLQOTl2YFUZG26V3e+e+f4GNe7l +K2s1sEHlQ0LJ84nXeDHjYsbxXja80psoKGxKRQf+HZOh48R4rokDgvovkSkf +kx82S6yneiJ1yfIE3HU3vO6JsDRUN5f0Pyl7gUrM1+GfyNLQuS9nb9rvaLw3 +fOwFV1Yaxo/aDkdoRGJUSNiOtg3S4DUZwP/mWjg6W/4MGNkuDTKmOdWiJ0Kx +0HlgBd8+aeBTys6QMH6ALcmTVupHpME8K7DOXuQehnOe7FS2IOK8Z5vtT/tj +vWTDcQU7ws0dQrPC/2D97fWKOm6ExSHNt8ALD+lStc7dJOYrDzr0nuOKLu2P +Og4GE963R8DC1hFPPdq2xSiSaH9O9Y7VFlssDrX5bJpMeNm+7gstlvj9Q/aq +2+8J76gvS/E7iaErn2ZmFRJedRClAg7jVwt6a8pnwiMejTUDuvi7MO1tfgfh +4v0KfnI7McC+raZ1hLChvFPLrY3YpSkfQ/1FOPdUgbOgHHb1CC0hL15BuHvq +k5QUmlS6J1IkCXsZC2qnzHPC28QWb5MmzLfivV7aAOeIimq0hdKChWybzpRw +sky4lXpbFjy+68yvaE5fcunrY3sWzLclmu8Sx3/35garQ/96vHXXSs4E72Pa +o5Mr/jvvV9/P4Z58vlrC8v/mG8r+Hx/T32w= + "]], LineBox[CompressedData[" +1:eJxd1Xk4lG/UB/AplKUFLbTIPPM8k1KilPqV5pwW/SikKCmJiii/FBGtCkkL +Sqis2UrJUtSIshRSlmQNMYx9mUGTKMv76H3/euefuT7X977vc+Y+c103cdhh +t/VkBoMxaRKDMfEt4W5ARp1SRsbfT5O20PKU+qOrf205na/IyYqorMzy++vv +nb/+4xiLma1ihE6Yt/6W2iNOALosEnsyYX0tJ+08jmEOZSCdNuHLf+TMWjjF +Nv0rNXMm/LzsxdAfTsHOnWaWJbSZK1vyD8nBf/L/yhrV0ca9D0QuLKgeNr9o +1U77+uvph39qQCYlrnZWRDvypEf/Og6senNUz5TBRIa5nUm0hS7UG87eajKF +dv61kQX+u2Gr1zTL8mm0sxUN9aaYw4ySWaZ8edprZR4F1x6BQO4RB+d5tKXm +JlRMsocBP/fSDmXab94Zd810ghS3BOleNhPdzxRZD1a7wgoJ4pjTcjoXXJqb +rOkO06Njwm+soj1kGbfd2hNy1X4qeayjbfnxkZK6D6ze7/tulEPvT5vD6Fzp +B5IPtNZL6TAxciShJ7/kLjx0U6kO2sFEHt8wYzw7CHztVXam7aJzufpnpS0P +YZ++aEaaKe3pMdMtjkZAY7FUn5YFE7M1rl6VOxIFhVYrEvSO0vuVnjprkLFw +KjjEp+E4EzU8/Ze4WD2B3vTKRJPTdF7F2FxZ8QyKBIuSDpxlon/GA5U6vSSw +y0v+0nKRiYp3nVdIxaWAbeKu4jEPJg49bRIYxryEjZ5Zcr99mPhlZc/2qrQ0 +KP3jfPasP+2yTBfVOVx477WB6xVEnx81VTLq+BtwTtGbPzeM3u9TEPZq1lsY +LaQ+eEfT/Sxp+zJSlgXtohl6N+Lp/MbipUaQA745m4Qzkpl4tKdCxSU3F4Ij +PU5Rr5hoae0ut3v9B7AgZszKzGSi+ZnTBz7x8uCPr/Xo6vdMTPgYULvOtwB+ +zyjK5RQyMXSa4Y5lywrhlcb5+E+lTFz+JCfE6tcnqDz6z4n2SiYaifs785OK +4JPXhzOtdUy07bvxctS2BC7o3o+wbmZiQ8jiS/ZLvoC/0vlYlw4mcufOyfw1 +swwO34srkhIy8ZrNKoye8RWkhsI3XPrJRFeL9Aq+TDnMOhnn5fGHiTEHfSI8 +f5dDrddgn/RkAnWjRGL24xUglrxnLSlJ4DuDbZo3RZUwueBK3sIZBH78Ht/c +31oFWZcHz9yfTWBDsOhpXk81NGw/Nx4zn0AGdbXRr7kG3rze9kyTIPD+rsF+ +q95vMKTFWmWsQmDUBZ01GW21MC36E8NEjcAlymjyb10dNCRn9FVpEpggW5Jw +p6Ue5MsyMrr+IVDjGTlzRf13EAsQf+KOdH8HPqe7dzTAp03z639to+s1zfoo +FDaCaDJ0j+sTuP9m5OpqRx7UWScsuGFM4PEuiStyQzyg6paoxJoR6Fvz7ZLT +mSbYstHx3KNDBIo8pv84LGgC5U3iQmUbAvlq2RXxjs1gYRrLUbcncNvzNRdn +Cpph/0y/pGxHAqu85khynfiwPFXHVtONwK0KBUfeD/LB8oTMiNZlAm+4qAS/ +OdUCuz/MS8n0InCD3prX90QtEKe+dlP5TQJdQ1MFig6t8Fo7bODEXQJlwXCX +xI9WCNf60t16n0BJfoezq1MbtG+Wz+4LJ/C32QHvRV1tIOgLTbsYS9+XWU+d +hl07mKZoXQt5RuChgGUrWQ3tEGQRFv4whcDm5AZtkWEH3H2uX7OAS+D0+0YR +UgUd0DdDplftHYFFvcct01Z3wjR5eTL3A4GKCn6Lk550wtiktsi1nwkMFVT4 +H1Logt3ihcMbywhczx4avXu+C448ETnlVxOoyrN7mtLSBdUW6oWN3wn8vNDU +03RLNxCT19rU8gl8YJy6LiO0G5b8602ZdhHYMU92QclwN9wJb3t7tI/A7avm +iLXp9wA32NdVNEjgPe+Rlq9RPZB2Uey89SiB47LdiT8GemC2i/e+Y2IslM4s +YbT+0wsasiam3VIsfPstSDztai8kZqqvZMiyMLfXxbEwtxe6pE2WB89loVL5 +4KIxMQGM6Og4zFRi4fndBtIZIIBTATq3FUkWHoqM/xHlJIBHV32Lopey8Of4 +ZLOsxwJY7dnWlqXOQmOX/R8vVwggzFFG7+0aFl7orxB7OyKAD5PdirS1WbjZ +3bDwECUEg9ifqgabWbgjMis/XkcIAZ1vnjbqsrCnrde23FoISfMNHI13snBA +ZTwq6IoQVlgIZu/bw0LNCFXBxvtCOL6lpqbhAAu5AVpm558LQXJD2dlBKxa+ +spXTsHknhAvKwRbCYywcad16zrVICImxsTbHT7KQX7XKZvk3IWzmvNdzOcPC +5O3JI9+a6fquSw3Fz9PnBX4v1u4Wwra8cDXyCgunay7239MvhNIxngnpzcL8 +lubOzp9CmFt7Iiz2NgvVs2sv3xkWQlaBRA43gIUvVMWSh/8IgW3aIWH4kIXf +OuV+vRkVglV58N0PkSw8SUBjyZgQxq32/v4cx0Iv6u71Y+NCOHD3oMee5yzU +nj04NYf2vEqndoeXLJQK/C+ig/ZzcdOAE+kszA773TBEe6zyqmVnFguXFvk8 +GqP9Iv2t5FAeCz+WRlSM0yZh09frRfTvX31nbMLHU+7wf39loeyh8fEJz9Lq +Lx2tYf3fe98H58wffL/aSO9P/d98S6BR/v1WFn7ha/51oYPRF40eOl9JNk2Y +vVaJ9XSAhb58k48T9fmyw4apQ/T/Y0rfgt+0D91SCsNxFurVJxR00t44f1f+ +QQkS3QbDr72n3X9v11pzGRL99jiYuNA+nPKwsF6ORMZ2R/43+n7W8Aa0BAok +Jl3c+7qJvr/85IC8K4tI7NFMfq81IgS1k5NCBykSvW0wNpO+/8GNlwxGVEk0 +eG9n6zJIzz9pC9NzJYkn3NjGofT8rDUVS0LXkphXdGV+BD1fMib9fdBGEru2 +T12+pEUIEa+ia+W3kugwEG4rUSuEi3WhRcrbSWxWSHp5vISeR1RDY6IRiavt +3+CGLCEonFcvKdlLoo19VaR5ohC60UD8izmJFi2nZs0Joes/eXnA+AiJjQ7+ +VY1XheDAWup7zI5E3sl9v0dthcDktDeNOpD4xfX2h+V6Qni8zfGiswuJv+Q4 +j36xheBvNU108QKJeyMG/3GdJAStRcKbkh4kxqXO+VNeKQBOL2+M9CFRWzLf +SiteAAvjI9KZfiRSLiNVZW4C4E55HBgRSPej7pGlv1kAr1ze6ieFkGjmzkiM +khbA6esL2ZuiSDxrVHdw3qdemOytq5P6hMTFR3QLL1zrhZ/BHshNJHH26JqK +yC29ELd96kFOGomB+dLpw4M9kD7zocmeDBIHdhgt83nWA1E5wwEGOSRuDQvL +HzjYA7pzokQFBSQmNEWGpUn0wK7zZhrlxSQO13CnRSV1g4KH2W3LChJT1190 +MTTsBgizzbtUS+Ly7J2KH4RdsDFmq84lHj1vrc8H8vy6YFjfrm28jcSdu0y8 +F1BdoCAZdli+l0Rian/O5cxOGDg6j/H4B32fEl+rpfU6ITrxcOvC3yRWfK7W +yKzogFfSGbEkg8KLKil7qq07wFvS3DthCoUJD5L7NnS3wxvFaVvzp1HY0D3r +XpFLO7yf46ObI0+hhvcYd6pYO/ikGp7kzKMwZvnZjFqPNkixJh30lSn093ez ++yHTBsFcvFPPpnB+yCVF/vVWMB5S/WOwnELH4vfc/VNb4fR2Co1WUTh0Oytm +6HYL6HNs4irWURg0pSWwQaoFbmx93d7JoXCgZvpxXV8+ePUYbb+iQ+F1xVc8 +NUk+7L3yWjS8g8K2xbYv7TybwWeJ2jmx3RSKh5SnnJZqhnOnu5l++yjsvTWt +Zr5nExQXcaOeWVDYx10zP2RqEwzONraPP0rhb95Dmdu3eVA/tsZ06QkK3X/1 +j5FS9Pv+R0Vp/WkKrxHPdy1e0AiqDw6LF5+lMF/m+3PDmQ0whTquuekSha4r +KP5+ye+wNcd94zZPCm+dcqTmzaoHYPBsi29QqD+vS3OpVB2E7jJ0aPWnsOuj +wuxR2Voo9fN52xBEobw+Q+y70jfIdpiutj+MPk8uW/nw3BqYdTjyrE003W+d +zEZZohrIwfN8UTyFoQ1N1cMKVRAUaqM6O5nCmmdA3iUqoVF9UfrsV3Q+50yu +3coKaD26yC44k8L1d9Q1RSvKwSBBTfQ0l0KUU3VXxK/gFOgViYUUdgwmVppj +GTiceHrvdSmFV5N4nsO6X6DYuOFIViWFyf2dOj8+l0DH9/0mevX0fJXjQ391 +FIH1uTblo80ULrdayHyr/hm4YqBp2UFhUdiT+0t8CqFwBe9Yg4A+f0vmofJP +BaBt73+2V0SvF/pKB2nlg/0OnyeX/lDITZEZjfP9AI4KO4n+SWw031S+IGQ0 +F2p/ks6iqWy8brf0SHRgDjBcNnW5zWBjtlrp5ZnK2XBd8uR6/9l07jw2Se3R +WyjW5j9bsoCNroznVs29b6DjINs6imCjbUbpLxM7LtjccRlOUGHjEHl5feiK +V/DIRjbpnxV0rnhOTa/1JXAM08F0NRvdH4vyFzenQApLXXHvejbWHFSJvT0l +GZrv98pVIxt5ZQWWS/5NAGcio61jGxsjs7N33xx/Ank7yuovGLCRYdxeMJYa +C92HU+cPGLMxOSEppvRtFDjditMcMmOjUeYk8bE1kZBu2vSfuyUbmeEG2n9O +hYDVmmUeD2zYiKRUmuXmYGgc38QLsGej5UrOlZbAAJhv/8hC1omub5SvqjDT +H153zeQqudH9Xn6TrWB+A+RlClY9v0zXr16k6DroCVsUd/xUukZblBqe7+wO +VWsb7irfotcva/mmWeEGi4fTd0XfpW2SF33TwQm+FcV0v75Pr1903Pcr6z/Q +21wTZxhB+9ZQfOuro+AXUxOfH0s71f/gx8fmsHZeh3fpM9rZCrly4cYQpo7e ++1/QNq9yY/B0wXrfYysX7kSe67a0hwNiJ+s8nN7RNnq4TtVkFViw9xUMfKDN +s9q/ZQ0JC4T81smfaTNJRXFyFgTt57IDy2i7H5GfdH6c0/7C7LlkDW3G5Dq5 +n62ch0rSstMbJlzj9GzFJ460qti9gJYJJ/O7DkRz6nVlfjztmjDD6v7YaY6n +Ce9BdN9fG4VoLeV0TRqzWvTrrxm8xOCNL3JUJVRH2f/3/jMY/9//A1Qkb2g= + + "]], LineBox[CompressedData[" +1:eJwl1Xs0ldkbB/DTkVJCyEgjEp33VUmp6UTkGcWhZpoZkntNpFJWEiEi14Rc +iqRUJFKim9CF89RBroOh5JbbcT0u7ykqcjm/1/z+2Gvvz9p7ffez9t5rbTVH +N3NnJoPBCKTbXC/I9MmLFmcBPWSQ1UVFqtc0XBoX/d/3HZW4bd/P/Nko85/V +hPlHuVY/ZGysf5pz3GM4msrdIvNS/4TynHta77wv4daWZv3KUJ+zseHmPT1c +QxeXGC/NObvy1eNmuHKq7Hx/bdqwviF3fCmmef0uWrCVdmePbg97NSZ0nlZX +06dtWia+v30j2rOdY5WNaDcZ2lTn6WO3dqryDVPa5NU1GmMcrNQThN7fS9te ++q9wO3N06z8nrWtJu8/q7nirHQoC2zqy7GgfUd9ntvYwlq3fcynnEO1klcOO +KSfQ0Zdpq3OMtpreuqtdp/FgSznT9CQLAntkYvc880Glto/VHZ4sgLVOS5hk +ID6ozG239mPBm+1nktNTQzG56naVYxA9L1A41hYcgXtO1jePhtN+YfGYkx6L +DuoKxZIxdJ5BbMcFpXg0LtUYX5RA75expfn8y0QUSPibRN6g/dVio+vqZPxy +oMcjOZV2w/PvivkpWLA/gbchkwWnithGhdfS0Hy7rM3dHDrvXlGtXFQG/qFz +q/J+Lgs651Gnd128j4ur3B02vmJBapd0oKtENhJellWmb+g8nt4ih6jHuEJ6 +QZDROxYsl4iTi0h+ipUckRW3mgXl6s+MlF7lYlv8wsVV9XS+Y8kFmYY8zA6y +/mTTzAKJS2meGXovsD3oRdTZDhY0aTetGEl6hX0ne4N8e1kQFzCNryWK8OGM +7fWZIRb4bGAula1BrL/dESX7hQWuETEGer++xYKu7JTMCRZMHDe8k1jOw9Oy +nCkVEQueqDyO5FmXYKyFcBMhTkCqXrZXSWspurC7I55KErDqgCL/dnQZ3tPi +F1bLErAryd7FWLsCXy59yq5QJODUEX3lFw2VGHG1rIGjQsCWSLEOvcxqfL1+ +ZLeVBr1e61EL27kGHzrb8QfWEjAR/LPtMs06dI9KLLbbRIDON9fC/ar/4vXi +zoCDbAIChuU1D0rUY8AtD7teAwJO3tMN1ZdowNIIBfnpnXQ9axc+jJxswEpF +xnDCbgJ4ip1q6+Z9wIwrBUtk/iKgyX6wP1+8EbtVAyRWWBHgyTKQFk42Ytve +6DWZDnR9W8PXJIo14ba4hytLnQj4kkuxtKeb8Dg30aHEhQBGq/hWXWEz2sZU +co1P0fubRyZqTLZg9impKSsvArJlygq8ha34ejzJevgcAY5OFSEXp9sw1fnz +zKEQAsZ1xpsLxNpxYMA64FgEAX9HTjDZk+04IrVo1edYOj8pSz5/XifuMy6/ +uTiRAOXo3W4T/p142WXfIfGbBAhlqcny7514SVuTE5xGgLdP/uFxvy4cXCgl +EXefgDoxy3cm37tQLFhXoPqYgAUWD7WE57pxbEBn2eU8AoKgIk2ZwUdjx+1K +8a/p8/Xs5Nv58tHS/5KhIo+A0fbN6u9m+ViWNQzrywmo3pazRMm3B7+dvepU +XEPA9OzlGVNRD079Ilmz/QMBJg1PvFyCetFxfpy8cSsBGw/F10j+6MVwTwff +ui4CdrSEzJT69aH0d93C4X4CbHwNPB+M9eGJI3xjwQgBvru5X+uO9mOY9weh +yzi9Xi306MKefmQmN3r5/iDg7K6xm/P3D6D+36tUpOaR8CnwuaRO7QD+JseW +ClpIguSMjc35nYPIbbT/GCZFQkCo3me7nEEc1a/iLVlGQn1JqJ7MSgEG5plG +aKwgoYi1XLA5WIADK+KvrVxFAkPenbOjW4BSq1llSSwStjXxx6tNhjBhe/m/ +6etJaBNJRkrfGcLmb89mdDaTkLVgkVnv7BAGlmTPN9clYd9Vhv0j82GcCfCw +MDck4W3vyEutO8PIbg0ufm9MQvo/0Rz2l2FsMLsjPbCHBJcpZsoP3RHUspXw +8zcnISrk+b4LISPoYKWvPW5NQsZ+P40zZSNIHTnPnTpAwniWbS9TbBR3LJd0 +C3MmgRcjZ+9qOIrC1owfKSdI8OsQ6go8RtH7klRNsjsJv7R75ubfHcXC9iKe +kg8J3ltfSJ9rGEWnnf5XyAASEnKGvQynR7HcLCzkZSgJgZMWURYaFDINbAvX +RZHQfFTmy3UOhSlGO+o2XCbBYlmL/MRhCicHj4s/v0bChTHvduUgCvPu3lOo +vEWCe7NC/dIkCrVFazmO6XT9k2lrInIoPM8596Y1i4RednTncaRwU1rbsr4n +JMj9OXL97D8Upt4OizxdQMLN9GyRcguF7jkGrbFFJByCWOd8PoXLH/A8YopJ +eGcpvGg2RKG/SZyWTCUJrta/9+d+pnDXN1feqjoSdniYndH/RmE554rP80b6 +vvP9ld9PUrj6QqiX5icS2l9RPJNpCtsbw/7Q5tP39+ijW+0MhdYVtWYFgyTo +jZgf/DRL4ZYaUrWOoucJaxV3EYV9uFe/8iv9nr4mPXhD29KiyNdkmoSN9uHz +BbSJSb0EC6YmwNeigEna+TCvtltCE5JYVO0s7cwbjT1MGU2Il3L7JKJ9ssvH +aL6CJkQIo2fnPFbh9Db8Z00YOiESzVm8uMViSk3zv/+dwRDi/wBYY+8Q + "]]}, + Annotation[#, "Charting`Private`Tag$4762#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], + Opacity[1.], LineBox[CompressedData[" +1:eJwVkgk41XkXx62viCijlLFW/9//lqXUNEgqIdndFFJaUKJXjQoxSPLaso+W +MURJqKwpFc6JbIWxJNzQlZ173ZS1hfd2nuc85/k85znb9zmqJ84y3YQEBARC ++P4zilax9n9TZeDC4k/jgVWnp/EnBQaya+RY7xd4wDJ/LOcix0C9LhODpd94 +IPKIK2EszUCRV7ZKMtM8cFA9VGoszkBtw3FHHocHE18CjV4JMdB539it6308 +UHucOF34nUZ1HouZ8ZYHt1qdwxRnaNT5UBkq/IoHeiLOjfd5NLZ6zJkrFPLA +b62VXsYojdLnHi7fkMqD+fTpMPl+GrsErdPEw3ggLxk7s9BNY3OBSYjGGR4c +j3JUFumgMeqJZ0KGLQ84J7up8GYaYxqD/nTX5oHcZpv9l17TuPWE3nZRWR6E +OAY4j1bR6Nz342LcxASsSu5S9Sunken6ew+zbgLMK2gJn6c05i37beLynQl4 +aazVMllAY1kbo4PynYD251R5dy6Nqkb19WEWE6Ae2x5unEljVnRc7aLCBDy6 +8FSiMZVGf/nVRWJjXGgwjSqqukHjd/WS0MPPuKAR8IuuTgKNUlrRLi5XuKCe +EjegEE0jR7jNXNSSCweadpgqhdHY1OVr37KSCy+P3o1KC6Ixcmf7kskuDrzu +nZ+M8aPx9tQPEZ3bHFhZJqbz7Q8a75sHLAs/woFrD023hXvSeJH92F5QgQPp +Uf6OV9xoxCr9Os334zBT4+L0zZl/34+zerJ/jcPYpx1X+h1otDWslpm2Hocl +mubHx5g0/uf497TrS8fhdL208lkLGteIx+nmwBi4M6sFXUxoXDJbfUrPdwxy +dLWr3u6ksbTl7ScRMgYRy+JzSnRpZLcQOpk1CgkzKqYlW2i00jx6ziB2FHr0 +T1dra/D1ehZXPK47CrXn7pxSJjQ+uWhyt2N0BL4IJY1EqvDn71p0SE0YgX3Z +T1Rl19DoI1O/L1l3BHZsfxO89Jefesu/3jU0DBfUb5SFSNEYERlYmxE1DKZL +7ZROidEouUtKYHrLMOz9sUfHU5DGxExt1mzPEERYjm/ifiUYVdkscSN4CE5F +h1r1ThG8GRSaHEvx86TNzHKCYPPT87R09SC8fXdgkTVMcJnx80o310EoP1/f +2dFH0PSzZ/YF8UEY9ZqKZ74nWPHIsFU0awCK7WW89NsJ6s7KklDTAYC0fkZm +E0Hv/J6ooI/9MD03mrqhjuCK3v35BYH9UL+rb5tKJcGxiohRFeV+YA/F5SW9 +IPhafa5BofQjJPtrmfuUEFwUCzgfYv8RLqgKpfvmE/R8MrXfd64PkqlrbTPZ +BHnt1VeXJfSB3Wox9cE7BL32js7YbOqDgx66Lrb/EJxcmadoWsuGK01pzO5k +gpdoen7JMTZYqEyffBdH8HZV1oLD4gdwaBY6ZBVJML/CQv+I5gcQ8hL0/i2U +oKtjc4SrZy+8U9piuD2Q4OaOTwv+D3uAq/HrZIUPwSPWMaKPe7ohY8PMq8Jz +BJV/xL7RU+yGm2HhvmoeBC0NYnV1LN+DRpO4tZArwYLB1A/50SzgMKBXwJmg +bKh5UmlTF3D7N1oG2hNMOoCrUKgLrkVHst1tCQ5cHAQP407wSkhjtpoR3HrU +nnn1Ugf45w79eciIIIfZ6V5W9A7WFSo32BoQNHa84ZH7tR1kVsp8rfmdoF2A +8Mvire3wt/ukxYPNBPVsI5IuB74FqyZRh7yNBPNyE8+Ev2kD48SW3VrrCeqU +ux07IdkGrD2xNsrKBL/O+47wnFqhcL+QQYw8wfu3HZpG0lqgyCenf/UKgifm +bqoVDjeDbfjuPDlJ/j/tHWkU3tkMW2bi7eNECXaGqnduk/sXipgt6/0WKZQP +nLljX98ITpVGD4PnKZT5aMZqiWiAvfFnl4t+ofC1psnoLe030GIenTLPobAu +MtUP5+oh1c1Z5MwQhStZav/cy60Dsf+qJVqy+fXvBpUz/GohJXx1pyWLQs3K +Nc+PMGvAW6Du+5s2Cu+glUHD6moYPnnK5FkjhTPFzcoB36sgbWOF17paCpt1 +2i54N1VCsLCpdR5SeKz4aqjTo5ewUFdpd/85hTaSQdnBWQjImdJRekyh32dx +ibVqACoLqwxF8ii08Bn59emGcshV8xIWz6aQdgzMWWf+AnzuBdyNzqCwTOmy +WAXzGbDl/N0DUyhUZ0Wvt4l+CjEDWpLcvyh0yDDzjssrAdcaefeAWAobbDJV +uePF0Jn8ecQvgn8fExje9kUQVxVqOhVCYWfToYKdRQUw0Cva2BvA1/N6umLk ++TwIcNi5ae9FCtnqyc5/uj8A/cEH5k1e/H3sBVWOJGRDylHxa6/cKVSR5iRT +UlmwQ0fpgc4JCt2HjSyd/O5C4MJansJhCkcEshqUtmTAcMfhccWD/H4llaoG +29IgSdqyJtWawoJ1B4PKZ29Bz1bNmmv7+GzZA8TsOniU1mTOG1L4aW4iXSM1 +EVStE7eH6VOIZfHPWzNjwafNajZ4G4WXZSZlnVZEgtSTyoQ5LQrTU3bdY94P +BcP/EVs2g58vH5ftdA6CjNNQN7SWz1x7N/1SH9jzYJmypyKFAlzHc7N2Z+EK +y+pv51V8tusokghzBRF3k6l/ZfgsVbZboPwgrOvXPF8gwef45S9y5o3A6w+z +3HwRPvtlJ2lsoOGbFsVgC/A5PTNGvVwY/g+pKj1R + "]], LineBox[CompressedData[" +1:eJw9V2k4Vu33NZUIkSFjznme8xRRmUoabFHIqwyZGpCiEqWiNJE3REKiQhEq +yZQ5NLCTUBl7jJnJPDwvEY3+5/flf77c17rWvvdae58P67rJwx6WLjxc9MfN +xfW/k7PSIi36Jwu5Ep+Eqb7hhdunD0r4T9E4ONFLJV0flF4LDi4do/F41A2F +Bmuwn03t4B1god+en9onJI5AYyhX7sUump/omFG8egpGxIwzHFpZiI3mnacq +zoFSWpnD4c80n/F3S5eJD6QlznD6P7GQGL7iKLvPHz4GrHja+J6FeulqF6Ms +gkG1cmqzQSnd31SXnWsTDkXyC0H1RSxUWzBq3nkmEvoc//yozmXhaZMPZfyV +d8GMO8/EKIPuvyogWDsvFvZF7EO1pyyst8pKuZIcD099CiViEliYuNuozUsh +CRR8jcmVsbReh17YQsBj0HD/aCkeRdczIr9rDybDjSLeI9dDWai0WlbBJfEZ ++E6vjTl+nYWifJF/zc3SIR5uBrr6sbAn55u+lthzWBhNsBi+yMK4nlnDo7HZ +sOCfrN/sycKMcyUWy4hc2H758HL9kyw8nprradyWB5mBlverj9L40C9ViCuA +Qh9No4pDLDQm7T8GmRcCX+Onz9v2s3BTmn3bkc3FwFlh7U9ZsXA8zslqjfgr +4PMQG1few8ILDfGF3f+9hgNUoGamEb2vE5W+TYtLwbxyJCFhO13fHnW9yB8h +uGrR2LItLPTikdu+KektjHZYTT7UYqF7s6695NsyGO26IxW/joV82wrFlRrf +gSqEKsgosbDIwkwtZNF7EArz2sXHYKH5v64yPMoVsE5HwuqkHAtLzgvCM7dK +uDw/KDUrQfuRGfhIZFWBS3La3zERFkZeyRLK6fsAkdms94eWsDA/irvNaOMn +sE+9nb2Dh4VfZcR/DFyoBssCNSuj3xQ2i6dGb3hZAzcUg5orZym8Yn5vdKN8 +HTh41/oUcijs5/no+2pDPZxfW8PLGqGQyyExfm6iHoq+/92e00dhF1tzziy5 +AWIyyeSMDgpF6plbTlp+hpdlvwZZzRQOBtcO2Ymy4dL7it0i9bSeW7bQnXds +cG4vd5f8SKG+d7sT17lGuG126cT9dxSWyPjes9VugoM5RFj4GwqrtBll+pNN +4HrGN5yrkMKzG7UL1r5oBgnFmvzebAotr1RnG5xtgZHuPVG9aRTaBEd096i0 +AvdCkLnjEwr/uIptPve9FV4szdUwfUjht5rJH1H5bfD8fNS3F9EUnrB4UJ3o +/wXqZ1Lc9W5TuJD+q7/WrB0iDmUK6tykUG93xMB3kQ6IXHMiKCuAQl+q6ltn +Vwdo9K1QvutL4c6EW888kjrhd8b8tdgLFHZu1G3xP9QFAs0Rr+TOUnhPX71R +Qq0b8sdlZITdKZSUpNz7p7ohyWm/9RUXCoUGdMJ+OfVA0UjNLkFHCmftxn8K +fOiBk44aR/j3UfjrWPsOQeVecNHcc+CaJYWfxo1H5iN64dfM/gvuprT/V5NL +cKoX2BKKXo07Kbxsazhssr8PmoyklA4AhSNbH+69iX1w7vhfLgsdCk8VP74f +rNgPdkPxReUaFD4UzXDivd4PrXvX3U1RpdBpbV/KmoF+uMaeUk5dRWGqem/U +4Pav4JHjHq9EUMgjZO31Ofkr/LzSumGFLIUuJjtcnXgGoPLprwx/cQrTuzwf +97gMQEm/RYeIMIWtVWdlMyoGYK9IrJoAP91fuveWPDEIKtHRR/7lorBev3TT +Sr9BSBIOenX8JxMdNEOEy1sHYXt06qdTM0yU6NY3zl83BMyr/i+nJ5iY1Sao +1RAyBI+t9tQODDERrj1+ktw9BEeD69Gml4lqLqIPrDYPQ2WqQevGdiZe3+x/ +/13YMFh2jaRsaGIiJi4U2nUNg+IZI5vcWiZ+FTK3stEagZCpu8oPq5iYwOC6 +scl/BDbVP2jnL2Pi/GSt3qqWERAta9wZ8YrmzUt2TawehcCkv41BBUz8Lv7g +xIczo7Ctu1v/z3Mmvjgk36KGoxAlmNvU+4yJ8g2J1Hv+MRDfVUgMPGJikVKr +4mHTMWga8DQ5GsfEjA/7Viy5OwYbTpOxNveYeDB6j7dsyxi02l2vKL/FxLaQ +zLpP8uOQbLUyw+IGE/2WdDVudhwHUd2UF7v9mahrWuTq9GAc3gQOJVReoec9 +lmsZ+2UclrySfp59nol9W3TKn4tPgA+vj1fRaVrv5/mEml0TsCEtRkb3BBOl ++fYanr42ATd1k/s1nJkY6me2xbhgApjqrqHJ9kw0V68VUh+dgH1iK/cH2dL1 +fm+3+8hMQjHf4p4gC9ovz1Bx+85JOGiTvGPxP0wkLq8XKfKchLi7G2q/7WDi +CdX1W+3jJkFR02ejoy4Tj5vflRComITq/jmbEW0mPs9fQwaMT8KKa0fi+tSZ +uDLTrV5bhANPfK/jPhUm/vfvb9inxoG64/cF9FhMNL3wlzO+mwPU0V7BnYpM +7MjL7y47zoHXb7tHK6WZmONguvOMPwemBes4xcuZuJnnc6bAfQ6cyD3HVhZi +ot43Mos7mwNCJ808ihYx8WFszGPudxwwlZRVe7HAwC8eRUbun2k9ubg69R8M +XLL0+9zDHg78t2zZHflvDMwxJMmrYxzYe1hHO3CcgcPRbfPPZzjQU3kvUmiQ +gWtmH6WK/eLAUrmB5bw9DDwV7WCb/pcDF6tHz3i3MbCPrbmwsMCBALfe2f1s +BkpXCexm0/xLhaUa9jUM1P1YknCcvq+xbty0s4KB2bLhS1RmOWCsWhJajQzk +dhsUnx/nQGKwUbrOSwamViVnXe3lAHOe99r7PAbW/8eySGJzwMA6xwczGWho +uiXWsZwDT0Wl7HRSGFhyqcZjTw4HUordbMkkBlqdXji8Kp4Dn8/NiCg9YGCr +utwa9SAOQIRWcsYdBj5zXOgbdeeASYzLocRwBko9Hbi70pIDMX93/xQLZmDz +u8SGGA16HsvVxdP/MtD9AYS1L+fA/WJNtenLDAzV9ZCMnZqEad+5QI9zDEwU +ExBgfZqEYfUMroMeDORqL01emjwJpIH0/orjDKR2ktKHLk5CwLFCN7PD9P+Y ++1CuYDoJgReNHhkdpPfrMPTpo+IkVI2EF76xZuBzpdfrvCcmINWocuqRGQPT +rrEPO72egPwija9PdzFw9oJstkXIBPAEWxcoGTDQ5kiodqfFBEzscE2X2cZA +drO4n5bcBMgd0vIL3sjAlg+7S5o7x8EzXENYSo2B1edHtl1JGAfXNLJ0+RoG +Ft23f3z5yDgkUVVmYUwGrhp18z5FjIN3qOMibwXa//zF4MU9YxBh+Ty2S4qB +3v/kOe+OGQO2itqgsygDBV92350zG4P86Ue2+wUZGCd3+GSd4Bi0rKhJqeFl +4Eef4hSRklGIWTMsn/2HRHmzPoVyr1HwN99okjNH4jk+QuqUyijkNG6xUZsi +UdP08m6DLyPgY6gRtHKMxJfhWq5Lw0bgplTPvZCvJIrl9C6r0x6Bdz/QQbKL +xC+EUEFc3zDEfoz8Z1kriQeO3N/ffHsYcn/IrQhqIFHqyaW/3ZuG4bjUPf5T +n0icVoCz974OgbGhQbbnexL/UYGI1oghcGtM0Z4rIdGrda/ZR60hUDX/0z9S +RKLdo6RyducgrF8j6LE/l/YntCvP03cQDq4wyR1JJ1HIVHZXmeIgrJ6+JDuc +TKKR8NmGMDofNqgccXJOINFF2c2/13kAei221pjFkrg4+GrJ8JIBqGuuXlEc +SaJ01MGJk0++wtCpCNntoSRKBjuf5aXzKX5mh/6m6yQ+dLpg9qS/H+61Zhtn +XqXnP9AiXeXTD2vThL1uXyTR/Uzs1KqV/TAZHm97x5NE3p6k9NnSPphq+Coj +eZLEUDuPCJt9fRDJo8C96BiJRw0sdXR+9ML520KVZw+ROJTn6fWKzt8AzfU7 +F/aRuKSvwvwrnc/r3+kP/9xLouC03I9qOr8VcoRPeO0m8bvqzFNvOt9TQz+I +2huRGPgl7SQfVw84Xi7ycN5O3w8UUvqh1w22VQ6ZI5tJ3NsqkVh+pgsmeL4v +69IiMVghMDAjuxMqHuywMF9HYvbQUrWi7g7YxggoVFciUfiam4CEQgd0vfre +pM4g0SAsT6zWoR3e5hHsLDkSN3lvsF51+wvox26ZjZMksVNN4f2iz22wuFP4 +O/8yEkNuzv87zNsGM5riWbeXkOi96LJj3uZW8LsgEBbCQ+LWns0Vq/xaYI9c +4S7u3wQyYn6WbC1shpfp1ipDswT2qeafF/3TBOZmq9+PcQjMlq6SnjNqAmWL +7G2nRgiMSd3GVg1shAS9Ne2O/QTWsqKKFRrYYBd+wLaug0D51mvU2DI2bOGU +3rRvpvGAebmD1Wd4vU1yYH89gbqrp6Y9Uxrg6iip0PyBwJXmnF7NyXroCVC8 +hO8IjNzU53/ToB4CfBoLlN4QWL2I0D8mWweWN16nZr2g9bXanD8U10DJtbtl +ydkEbt24qa77XDW4f2G9lEkjMDS5NMtN/hMUKaY0/35MYH5/+46z3R9g3YUD +6X/jCdxkUush/bwKhtZVOvhGE6gmqGgp5VoJumym9snbBDpY64x9hgpoadKe +bAshMPhAEXWa7z3cH/O1dwmg+7FLNnY1vYNfky+mHH0JHK16Ha1YVgYJ5k+d +W70JnFHT87Z7+BZ6Eq7/Lj1DoKmk0BXOHQRTnvumFW4EukfyFLWvK4WfZc6X +TVwIrG9R27Ow4g0YniHqtjkSKB0irhqt+QoafaK4s+zo+9vYDe82FgPXhTm7 +W5YEGhstP7zBrRBsAsOPh5sSqOfxc/x6YQFw/uuwWWpIoJaPek8vJw9Wmcif +mAN6f2JvbcpsciGBW/ygkw6tP2QmapKZDfrVWxePahD0+/ByQfOW5+AfYNvU +q0rgvNyXZ7Oe6cD/QNnfdhWBRfE6IrV3noFywrj1VoLAVulL7bYCT+Hci74e +kCWwKriUvyz9MfCqRpi8Faf99Um+VNFLgvW31rXlCBPIJfTZeFr/ITw0DzAk ++AkUtepSuDMfC9osYfdMLprfslVuRuwefKkVPrl3VhEPWXcLGb6IhE/LTpca +DSui3nzT+Ytvw2FeJf+AbIciojbZNyN/A9L4jhUM19L4qh2TeOwP2bx+V2fe +0vXfEomqBh+Y7rwU1VVA82vtdr5UPg/FXl+dy58pIpeVfbK/4Sl4PbjeLziO +xsItc9q3joD41d6lIRE0LhEZ2JBoAxw2t3WQ///qx3bEPjAAfj6+4cPeNI5Z +yXWskQLXXWuelZ1W/P/3/v8BewXZjw== + "]], LineBox[CompressedData[" +1:eJwVl3k0lmsXhyWVSinvPBqScCSVcpAhJJVOUoo6pigJKWUImZIoQ6koJCRK +psyK9pYSEjITmWfeNyrFEd/z/fWsa+3fPax97+mRPOVsfFpQQEBgyRIBgf9/ +j2zyS9tqK44CCclhCqVL4f5Sw9l/zAn2c/4+k74RyqaEhHQOEyzwwpPSrQuX +4hRqlPQILhGyLRw4BlZSO7/+USHYssTaZdAGEmufWgkrEAyqpBnH82CnEpiw +VpzgutSNI5vdwN5LT/67mDiicWGvbcdV+OZ16WLjMoK12i6uLr4GH5OE5aZ+ +c1H7s0U3iIVAX7Kv/OQEFyVOBMlQksLBx+b06EA3F/18E7aIJUfCsT8K068a +Cb2nYe0u4SjIPWJTFFrBxduyj8W7hGLAtn6RF1vMxXXblsxxtOLhsKyPc0gG +oYca10H1RCjf5ZDhksDFbGbST276E7iooLZD7R4XgzNEuxKpKXBJx3mzVjAX +6xNq1nKinsEcmdSv5cVFoyYR5xsXXkDJ2wd9XGdifUHaDfldmSC0OezxrDUX +m9i0FdvzsiGhdLhmvQkXDRwDpBVMcsBdTlpPeB8Xvxlc0VYYy4XGw3Uec+pc +DHwyIP4sJx8cTP79Vb2Fi2dvlTS9cSyE476Hhto2cDFZkTTUplAMladfRvZR +uVjie/T4vg2vwXXTz+DqVQTvfuKyuLwUUp+m7sr8w8FKg8zMoxsAKIvqahem +OOinNksxuI/Q6rPzmfMgBzNnFT/eiikD7uvHjefaOBhsXltiVPoWEhvGDPRq +OFj0TZJ6ubEcnqckezGAg+fd5B1FhN/DssR3XIUcDsafIn2ha1bAI7eK1ZwU +Du4XkbjpfvoDvBJWKVj5kIMdRpnxWumVIOYWktYUysFkb93rOv1VACt1RLv8 +OChzyiXiJuMjTJnZb+29RNzPbe7I0gs1YHHd5VG5HQcvcMXyu/I+gZHTWEXi +CQ4GWPA3BjHrINqr0qr0IAe5DxViArXqgXE6WK9wNweVIkTWkUbqQWJ14WC6 +Mgedvf5q35f4GWxCP9T7yXIwRXvU+IhRA/xVrX/FiMVBh93PcOe6RtirqBBp +vpaDtjyTMqhthMdO338YCHKwz9Hc5FBAE3jby1GUfrKxLaFYukm/Ge5r7/b9 +OcJGH1Xmv1OLzSCoCWa/O9mYctAxqbWgBX78ejE1U8fGuGjX9iKfVpAK82xs +LGejwzJafZBaGzR/LXRJL2Cju/zCduMV7dB57GTA2+dsvPTb+27Q53YQT+v5 +UvCIjXbJrw+vjuiA4eKm6ZTbbGQOqIo5mX+BseS0I66BbDxl97iiitsJOgVD +0v4ehL7OyXqgsxPEA+/kBjuwUTe48Uvqiy5Q2cwNPW/JxgKufvuE41doimRI +GRmzces/tjaZmt2Q0TizZJ0+GysiM4dMlvRAh5qa8Ro1Nm5peb7K3roHQi0m +LJdvZqN58jlueFUPeJr7FXZJsPHt2QNHk+V7YZJ+rSabxMZkbn9k6t1eyJsb +P1y2nI0u+q/TfOZ6obBwp2T2HAt92Dt3SZ/oA62t3zJiJln4mu7i517WB0uD +bgXa9LJw8Hab8nXJfmAtCfto38xCbfeOa5q+/VD09586h0oWGsgXXrUY6Ic7 +Bw5xDUtYeOKGvYeszgDwmevkZbNY+G06JJ2bOgCJ61RSdiexsDbZOuzKykGI +6NVyVI1ioZjJF84V20EQdC7kK4SwMOo4Y6lhxSBkt64rXfBmYbKZ++wbiSHI +OVM/t+YiC32l3iiGeQyBWs7CT7otC+8aBE/UtQzBn7a16oKmLOypzVgJm4dh +T0mYxvB+FnK5uxnNN4ehypMXkqbJQi910t9xPcOQaJmv/2IbC10Ut3hFbR+B +BYFxTN3IwjGz6Mr9oSMQfVXwegCDhfL6C68+dY1AfkHwcjMRFgpTVze/VB6F +TVTX346LTBRKfnLXO3gUmo10lS2/M9HUt+qyVvMoCBv4bT44xMQTugJVwzJj +4M58fIHRwcT//IuM4y6OgRpJWnpDLRND5aIvWhaPQUAl7b5sGRMrsCc9ZNk4 +LDebPCSST+x3yoI6u38cRFO2Vo6nMjH+WW7Z2Xvj4MjozBaOZWKg2pOI2I5x +EDp7tn9pBBON9U+omjInQOqSTeOcPxOrW6+8vmk+AV5m2mvqXZmYhiGP7WMm +wGz+llDiWSaW32j6eKhjAm6913DMOsnEkZiE6QnqJMzaf+bEHWJia2GcyK2D +kzBR3RgaqMPEf9es3B4fNAmsFUV7DuxkokHvwv6u4kmItxd0PiTPRM2NiRvl +xiYhJfi1ySEOE8MjSeWmbB6MBRwL2ryeiWQT9lPyPh7kqlpdXiHExLhyf0dN +dx7UU+sbuL8YqFy1yUwpiQdydZJe5HEG+nn9dmZU8mCFXl/Psq8MvCmWdrKN +xwPJe4G3mz8zcHaTjOP7dXyIWBWW3feegSe/Sp8Z2cKHAA2h8PEiBlqM7tQ2 +PMSH7APm+Q3pDFT43dJ++BwfbKkbY/IfM/DcP2Hp4tf5cKSkttLtLgN3XLvb +VBPLh6jgr3auNxh4NoupWp/FB4v15u+cPRk4w1tvsbycD6dcS88ZnGcgrfNc +3cMGPozfD61lWzPQRaKHOdzDh8rOsiTFowxEj4vdRyb40LvQhlwDBiZkCuon +/+CDb5dF3Cp1BtEfturT/+ODWXRFWZMiA8PT79TmL/Dhhvu9451SDBS0crm8 +sMgHNfLntG4KA9ffh08dhF0ulL+3bCUDvw5yzM4R6x+hd1L8PB3Dq7baMH7y +4TTrglMxn47Mv985GxDn2x/eE5DTT8fzbZZfXvXyYVo35GhqCx0Hxn99gkY+ +FKzPuuBZTce67OrrR97xQSBOd/++UjrW65VIrHrJh3hDzXsm2XR0GxmwDSD8 +497GlNVOpiPXPXuCQ/ivWeLMFZloOsrYxOgcJ/zrrHeXPH6TjrLaOlVLCP+b +h8tITPrQcdUSl6KV2/hQf092dOwiHSNmHlpsJ97P101kvuI0HX8vTGlZEe+b +S7asiTelowCjYWH/Bx6ot9PW5B2gY2nDYTGfeB4seuREp2rR8Wju8av73Hhg +0Py6O2obHdufSflYGvDgk0jqRVsZOtqZ6zr9y+JByrnfl5yZdAz0fvRJc3IS +hK4XbXdbQ0fF45FRgv+PX88TGieX0HGb9fzlXUR8lyo4CO36QcOOqa7jS4n4 +V1rWp35imIZBpOotz8UmobtIrfTYFxoK5LSsMCHyhyQnOP9PLQ1tD07fV4qd +gIDLLwI3vqXh1rUhxhYWE+DmXV79K4+GflH2fi5EfkLabv8Vz2iYnGK6+l3z +OOjmJfr/jCXsVobvp++Og6HnBa3ucBpmpa5XekXk/x2W5N7UABr68OWePhMa +B/l+FUh3o2Hg97jNZ9+MgYFd6Y8MexoKdsm1XL0wBkkV0pfDzGmoxf/urkbU +H/++ueyzRjRsKZEypDeNQp60ioW/Lg2ZICXW7j8KG3RMTDxUaBihp4yl20eB +xu5b6SBPQ+upGok7RP3b+9lIeBeXhvr3J2U+3hqBj+kb3feL0XC7FiPZSW0E +mvTsnxxdRsOJPMOQVqK+MorCGRqzVCyK1bakhQ5D/7DUQckJKnIWk1sWFYdh +ZPpBdX83FVvy9y7+R9RvHWnXov5GKiqbDskV+w6BpBjadFdQcd324OyVRP1X +/zJhWvyKiuKLV1s/vx+EDqvg1REZVByyrVTi2AxCrchNxaQEKh7hXRYwXjII +f+46xd69R0VPKY2Rk08H4GUrvPe7Qdynx9hiSJvoRzPfVQ29qJh+MivMo68f +BtXDuSbOVDx/jR9hdr0fMjWi0ewUFWWg88db8X7IZQak7zpGxWV/B9X4YR9o +5H0xYe2j4ryJm3OtaR+wLsc6q+yi4g+/2I/x072wQ1SjcasSFf9QRMjCRP9t +OnPwx6YNBP/wY7P/6oWsh1qW81QqNht55fOI/i0aknCjZiUV653ePw2w6oHy +eDuJjnkKkkOVHfqnuiE3Yt3Sim8UXKuYpJ2q1A2yGrJPMvopePLbxpR9ll+h +7b1k2KVWCs7MZOwVieuCzsv+w24fKWjI/3Ntjpg/zvQcWnR9Q8Hu+Nxryms7 +4a9NAtZHciiI99XGGw5+AVd5cT+FpxQUKgvwoPl0AOlosqjuAwqyTeOH1+S2 +w6Tp6lGVUAouJvY0RM+0gSGz11fWl4LPNMOPnvirDYYLHlj9cqEgT2E+v+Z8 +K7RcKXi51I6CYXXr2fKFLbB/VgdXn6DgtyKPWKupZpg78pI+fZCCfjd2/Dqs +1gxbHMIVm7Qp6NR/QCnBtQkSzA+G31Om4GCxqi4xjcNpNxeLSFkKrnHzriCL +NkLWgeUTt1gULFHKeh55uAF2zHuV24hSMDKw4GFp0mfQtrcyVREk/CV+Kzxx +uB4ez6eZG/wk4+1enqjDtnpQdWhNVxkl49wf80BbWh2YRbkUS3WSMfjO53rp +3E+QF8cXnagjY2DS8rF8hxqwqWpomS4n44JqfHnplo8QnSN98mcBGSVqN239 +8LUKVribyrWkkVHvmJWzQFolzP+ay8t5RMZz9J7D+dYfYM/jpS9rbpMxtNRM +XEymAqoleTPvAsn4NfK5Ye2y99BktXeuyJ2M2sveCq5pKgemV8DeWw6EfqFQ +Q4+Y//Neb1gWa0nG8Frja8dyyqCxaLtfijEZB2zqnWajERTvbNxzW5+M74YH +npG2AKyVCfrgqkpGR6dj7zLESkE+qvKu6mYyWplm/1wr9xpiFC7Wq0mSUfnW +w7dmx4shwje4WoVMxpFhd+ur5wsBMvzXkoTJOGEXeqc1Px9cH4yKjs2RkN1r +Vhs9mgu+zwv9FyZJ6GGWmh+okgPv7ttpTPWSMNiGr/QmJxvCNYMKuptI+M0s +KmulZibcext0Jb2S4Nj3zTvOvwCe42R6QQkJjfQahqtqnsGnxrePSrJIKGH/ +z9U04v9uhOLZlvCEhCOW5ekvXj6BYHJCvV8UwQtRO85rJYK3qoJqfAgJb186 +o3tu8RGkq8aMP7xKQqtujsosKQasZ9xO3rlAQr9Wj01cySgwDt9BsbMl9m/d +tnnsVSRU9p0K/fs4CRNizmlMF4VDpPnMpb37SahtsOKAIwTDg1yHp8qaJBQw +v7n9C1yDDRWH7nG2EnYorNo0cRVGcymfBqRJiFvk8gQV3ECocrfbKJ3QjwSZ +HbdxhuQHnZ2jq4nzvTOv5rTbgK+eWVjlohgKeE6T+cPHoDtd77+kaYKVl2cc +KNeFe+pR7a8GCf52P/FViRQwplz0J7sJ1p5dfbFUCP4HRBNpSQ== + "]], LineBox[CompressedData[" +1:eJxdlnk4FN73x2cGY8YYZiTSYklUlCRJlOOTpCj6hFQUIUr2iJCQkDZpsUT7 +IoooRWiOnWQnsm+TfcZWH7vv/P79/XWf1/M+59xzz32e971ytm5HzpAIBAKR +SCD838pXXbbfql4MCYTOVzqFJEiu5EpcQB73xPVkPtCDtD+/Pgd94vE00FhW +5rCzRU088o0YBq0xdHK/ZAdLcS45Ho947Bulzk91BUMJSVnz2zw29o/8I3AR +al2eV0mE8PJlq8mswsvwbvje6PKLYohTBVdZu66CsOJUOeOcGHY5v5xuexAB +SdoaY4NWYvi0/eeTyQ23oZzeX//NhFcvWxPjnKJBh7y4vnaPGMpy1qf7kh4C +99ff4nwNMaxRceSmvIwDBadTcqkbxfCwj5KLaW8iPGx8U+K7RgwZalZeusxn +YOVo0RbE5PUjcaBp0OAFvMn0Tgvl5+kSJocUG17B5k7vHw7TTOzaWUe4bZEE +msV9r/cOM3FaOnHKXSMFYmuzx607mHhsTbyi3eZU2JbtHna8jolF5jWJa69/ +ANOTD5uNi5koXNAon7opA9LaEr3WZjMRb9J684s+QtQK8arxFCZGiE/OP4jK +hGK/sZjFx0w0k3qk8vLMF9DISk0ZjObFH67LzlDKhk0ZrQE115jofHSvhKti +DpwKH4p5cImJjHKaU6FoHvSFWMvHujCx4bDxgbCFb8DV0nN+aMPEgqhfgfrR +CBpVlCVPMybWGLmleMfnA0l6t4mRARMJmyJyjbEA1t5vEj2txcSo6RtL2gOF +EMg2XWmuwkTyauON30jFYD2p07NXjtefpSFxRrsE/NtoU2LLmXhk58zfZIdS +GB7WuShNZeLE4OfL2++WQfeXrkyFeQbGSsbct2wtBzFre6CNMVCde34dY3kF +lBUcDRvpYaBK86n5ZW4/4CtRWfLdTwbW1bp6xH6pBILjmRVvvzNw7MTE29uL +VVARIdL0LI+BP/aOVWluq4G6a3GdvukMNBs+H3e9vQZOwb1XRi8ZmPB8mfPt +2FrQkZlstYxhYFdT+7MbR+rgRIu6leENBrZIHL/JJNXD3L/sOPVABv42+mh3 +saAeml/6yC14MFD6+ukzYz4NQJV2l+JzYGB17spb/sqNwDJtquI/zsA9ugPv +Z7mNkG4vW9V3kIHNW0S6m5J+wga15kgEBoY9mZv64tkEkwp/v/xSY2DfuE+8 +iVYzzEz9UalVZKCJv05Ewp9muBZkb1ckxUBrSszb1vxfcLD/U/cDOgO37aoA +r+AWcPQ6X/OSyMA4h+RrwjqtwAiQmzk1KIo2ws/WeNLaoLx4C1u1VhSP0k9/ +TK5og1sRqw7OfhZFrz16CVEJ7VBGrNgX9FgUd600Gpi37oCU0n1rg8NEMVlV +1K5aphNiyU99LzmLom2EaZjAWCcszOXaqpiJovCH6IObj3eBs9OX0padolj2 +7mStU0EXXFUIpJNkRXGIbbsrcWM3iMVQ+tmCohhvP78l83o3pAS7a+ePiuDc +ZbNNSePdkLM2Qf54owiODHa0nj3SAxcY90fO5/H00y0JnA89oOFzTvXiCxG8 +/I+xlahkL7jfJ1F23xDBALa12G/vXvikdOnEnLsIzl7y/7u2rRee0nNvqVuI +YO/k0JdO6AP+NwVmSiCC4x4Rd/UT+sAz+/VDSQURnO7JsGMt9YFFiLFzvrAI +KpoXZf+yZINBUX2u7QQdO+JvpRR/Y0PnEQVOYDMdUwyet45L/wZOjNZHC6Tj +qfIrnwt9foPg0c2T61/Tkf01q5O/6TfEfu8t+XSLjkJiU1EjKv1Qe8PBP9eb +jvte+FAkQvtBvKQkOceSjmlZPnsNOvuh+vOQi48eHdfZB8Vv3zYA92VHUpQ2 +0vHCSnOZppsDQGzK/n5MlI5PN+Wcde0dALae0WW9/4RxPZ+uBlttEBo2fk1W +aBdG2XGWYWz4IGiVjJwvKhJG4SJLTe3GQdifNkzveCeMp/SeWk/JDIENs0yJ +Gy2M05G6uW5uQzC28Wxrrp8wzm4O0KVnDUFkDpscYiOMnCjhcKrAMDziW1/C +t18YJWwrHf4xGgbzWHUHgqowehYtW7h8exikXssETy3n1T+m236/cRjMnKq2 +vFqgYUD41u6NEiMQ9+bE8UO9NHRYyL24+cQIhG/9aOdeTsMdl9ZnDMWPwIhH +NfFwOg39DCa0vRpHwFK5fOX6GBo2e3/tahMbhT2Pwkq/BtLw8F+i407DUai1 +YJiXO/DiJ71CHweOgtMtn5PVB2lI7Ql4ZZo5Cn6Xns/cVafhaz2fXRa/R+FB +RzLj4EoaSrmS0zWkOHAs6golmEDDrb4LT5r3cyB6WvK+54AQGt39fU7lAgd+ +/roVb1klhApZ5Yblj3m6R6k68bMQHinfTTtYzMsP6Tjw+JEQys/0VTwa4UBJ +Zz58DhbC5E3bcnMZXHg3ebY88pwQbsi7TtBU5UJC0GjlURMhvB3+IPm3CRf4 +irY7dGoIYflR8yyl81wQMzPs7JEWwj51W3bmVS5sOrmrvp1fCB//a1za8YgL +easnTjwYoaJszGsFyQ9cOGZ+7eTeOiqGVD1d7lXIq9/Sp+uaRUV3zXv9HfVc +sJCgZJk9pSJT7RKjrYsLqysoyWphVBTm6J1yGOHla1RuqXShYqpLyWLJFBd2 +c04+7DGnYug9NZO8Wd7+Mj98uNpUzHLM0Uxb5EI0eXEkS56Kwe1bAxaXuEBy +pLdfovL6Mc1n1fP0VeKD7zO5FOy9RkhkzHEhxSdCLLWJgpqa5o2af7igbyYw +kZhHwcN9bgEWvP0t+vXPGL6i4LqwyaSUbi5cmLQz5URSMODN3JVQXv87Dhqv +pXtQsIX2IpPEO98Fy/nQgWMUXCYVEncgnQvZveG2OToUvJ+Xdfcmbz4X1zbV +GypSUEb48TF2KBda308omItQ0F6a7/llZy4YZQxPHJ0SRBWnP9Ro3vzVXJJM +l7cJYhE16uchNS7IP9uzpSJfEBuO+GVL8+4vV+7t8NwbQdS4t9pVgne/lcfq +tQfv8OJb4/MMyzgwQGklV3kLYlep+MrVTzjg5/b6jNtJQcxQupHge5EDmWt1 +Xt3QF0QFatRk7EEOzBp/tH6iLIhBTw3WDqzmwOetI+/dlgni0COlu0c4oxBw +i+SzY4aMUs1p5veyR+Hrk7b6nC4yRhq86t8dNgpPF0OYOWVk9PzRpLn47yhE +/SR/T08l44r3Je7bJEdh2t5Y4sxDMtJMo/lsOkbAyflCu2gAGfVkBdw+Ph6B +ULS/tt2WjAEXrDpXnRqB5RVS+ZKGZNRtaJGhyo5AuvE7n/EtZBwSXNdW1jIM +RRGkgnBJMuZKpnkfix2GK6IKo7FLAjiedlz5nvkw7BVVuPeMLYCczFgxC9ow +BBcP/HCoFEDbJ/QFl6IhKJu6Hiz/UQCTtFMy6r2H4Iv7fxKWcQI4/+HtpQnF +IZB+sUHXJFgAhfaTSOzWQbhjvKNPy1EAN9VeDhmJGASfD9TVvcYC6Gk2dl5Y +axDO3ciuClHn8R3fs+I8/+P/uN02caUA/lz34+ZOnj9K3PW+7kUSQL8qrj9n +5wCoDUQr6w3wI2ehlmXH89eSPxdOV1Xz41ClWUnS9X4gHZbzbfjCj/w22yci +1fthv/w7al0iP25Sw0EGz78F3s+q3LzGj9ZNtv7Vgb+hMk2xevd5fnTveF2x +iuf/u5ekDzv9y4/m2/zMlXjvwzqBVnvTnfwoG5Bv2WDHhuWhXlPbZPhRh75i +iEhkQ2B2rWQtmR/nC3ecIrzsgzvac6sGOXx4FV3/Ku/vg2QN0qPpBj70ytwV +2trbC7sHfrwvyuVDzi3p4NRrvdAi5q0d8ZwP52+Ld5JleoEz2WVFieRD8epW +5fRvPZAsJ2XN58mHoxcsY1qse8CjTKXtjwUf9km3uiZMd0OaAmX0JfBh3t2v ++6ZiuuG/uW++Rgp8KCZ3jvRHpRv6pfewnWl8eIUZafq+tAtMpiPYhpMk/N5v +UKnh2AX5hu9tZH+RcFy/jiaz0AnJpg/cMpCEqtq+vp92dsKutwesWUkknArJ +Ttvl1wHNPq3lhbdJKOwsubIguR2G51XLQi+S0PKn6vvLg21AmbP7Z7cVr97s +OcVrK9qgSt/ukfseEqYvT/IkHmwFmoHidRslEvL3b0wcv9UCR/JLxg0YJJyp +Yi2l4y9gTihxhv8jIt/09AEh0i/wdbOsEOoiYtGm2DqZ/c0weOacvFwJEUVc +F6tirzRBrZi26NR7In5XfqFVWPwTijSHvL/dI2LyW/ct3xcaQWX5SXd9fyLu +uL7L/f7eRtDVSTAysCPixHUh17CoBrDqynqme4CIn7jN8pTqehjTjLvxV5WI +Ne/yhPeurofbQhZjLySIqGXQ5/zcqg4aNnRv/zFPwM9Ulw95z2shekFFNL2P +gB3R5GLP/2rghNEJu1vfCXjzn0K2tG4NxJkcMFLM4LHGu75UlWoIfsovrhVP +wKiOtgX7gUq44JRooR9EQC1VDd2iGz+gbWhGhX6WgNMuqwSyjCrApG9b8I9D +BHxe6Bj+7W85nFfS+kRWJ6BrTruZVmoZLCry+y+sImDZppjRh8Gl8CghJXeY +SEBjnVC5uX9KwPru5nWb65dYCfS3lwfWFENC67cij8dLrP2dazxcef97JdaA +4junJZaNnD+hg1UAb0TjP1hrLbFmly8GdqTng+YRsiqJvMRqkc93j7mPkEWc +/bmmcZE14JrB+r6WBRGGYbDl1SLrZa5wrpF6Hrhcq0zc4rHICvhItb6mnAO6 +mxPk3+oushg3rNIizLJhQ6nCE6bwImvDpe1SLIcvYDNr0ObWvMAa8bn7RuFe +JoTHj+saJC2wvCplK+I5H6Fg09FHdK8FVkBm4VjzjgwYUd6z5pDeAitpReCT +/NQP4FhUYhOybIGlelprar1fKvw1Jse/7pxnJYWM7fDxTwGvfZWzemnzrGmP +NtOx2iQgq5r8W+M3zxoTbxfyFn8N4WHHqhsPzLOOWdzR7056AccvzorUSs2z +PsS9M6KefwZwzGJvDnuOpavZEyyn/xjE32g/k86cYx0uTny83DAeFvpbJt2D +5lg1p8CAvf8h7CgQo2QfnGONzUK4WEo0WFPr9PxWz7Ge+gWtoW64Awl7Dnor +DcyybLKSRWaWXYfSgUNVpl94vO+bWsXCVdDbMDDgGT7LCspOsVGSvgL1x3fP +BJnOsgiqvyqVWRfhyLTUVoo8Ty/MXrlntTuwI9JPBXJmWEF+ic8qHO2hPKP7 +0NDXGRYh79t5z4ajkHAgzr46ksdB0fZG3vpwtY7m8eIoj7tOzCi8VYDjZQKv +xhR4TMgq5Zqn6fx//h9xWglI + "]], LineBox[CompressedData[" +1:eJwVVnk4FNwXHslSKJElUYhkTUriwyVEFBJFCVGWxlIR6pMIZUlJli8le7Ys +kSzFsZU1+85YZmwzZrPNVJTf/O4/93mfc+49z32fc+77Sjn7WN3chmEtNgzm +/3vih+yw5/ifgGllPz3oeRSCj3HXONSysOTeV5ebDSDvIK5zRywL65v4/Jdr +A/NbnoNZtv/PF5r7p8UFBM+Hc1TK/YSQ2+de343xhrjjJ5jAZALmgoayYNE9 +kOZNm6xsYkKIj72L+MxDyLzwknLkFRPSxZop81fCQCNKdyXSgQnTGbp+0i2R +IH4lvGpSgQl6FYyTtnXPgZ584X3RbwZYPiQrOd+Oh5GW0SjsdwbQP4RMzQsk +QckNYkJmIgNKbzSRTBpew3DKy+vdNxkg2aaaWJKRCoJNa4eWjjEgMFfU12JP +BujaTvGFsLHy7xj+fWueBQ+nwinsP9bB/ePInDk+B/gwTc8fvl4HTJIxRTMu +D2JiIy/f9liHwCib0IpjhXCAb4ewlfo6TBfw16SrFkP6L/7ND9vXgW4/XCT+ +ohROjvQPM7rXQBWr+cBNowwkLI/eO/l2DZyilziFSOWwckJAi4ZdA/432n4R +Lypggqd0LUljDd4u6uq98KiETxaDQ5Wca2D/Q4CbfrwacEqvHnQNrYLMU4bA +BPsXENvk2TuQuQqRjtdsDvPUwplTvINWd1eh9Vff9oC/dfDEtSWmXmcVeDE4 +r+LEehBR2b9dZucqNE+sFPUXN0CyMyMLM7YCpg/qvg9/aQTFqGeanTkrwF8j +MNAz3wTF4gUM/nsrcOOy/9mI7d8g9KzTPTOjFVDoK1LOkf4OHu69RL89K0D3 +kGipdGwBtNYWITKzDGo/f1Kex7aCwt2HIvkfliFStuWK9HgbbL9W1VP+7zK8 +PeEjeVO0A1RmYsw+mS1D3zMXqyLrTvC6LPY1S2QZFnftLcV+/AEpWqrWvAt0 +GNPoPM252QUTu9nwLmV0KLur83ngeA94B9869jGYDr41WTW0xR6g2V3NCzCn +Q3GHa7xoQi+Ey3EJKYvR4dGF+4VbFn3A3+xnVdlHgwdp9w8EsfVDNZb379Zd +GshsfCJZfuyHGOa+xMM8NMi7YzDFdXcAtK6d/BcVUIFcpewVLzcIArmt507q +U8HzXpagGHUQYg49yxAdo8CVAg/u3LIhwN93MKLcpwCe6HbkInYYnD+9H0jb +QwFt6ymejRMjAK1/ygxLyeBsmG3stDICRg3tQS1nyIAdCJisrR8FT3Jrm/rU +Eiz1XD7v/GwMbi8P3kwKXoJOA+VmJbNxSB2zpQwILYEUZ3N0i9gE5KWSmjg+ +kcAy0PiROm4CrF8VSUhbk6AjxfiS4VscyHvNO4uTicDkscIvYCdhXFKFyR1D +BOpqbRpJeQo+Vy3590sRQcPPFieHmQa1sLH7UTWL0Lr72w4ft2mIkRvpOHZl +Ed4/stCvaJkGvlKzHVXLC/CYbbpo4+gMeAp0JarGLcCFlhSc7MsZ8MoNzUlQ +XYDuHexryvQZSJIsTB9qnQelPU6Dm5fwkOpLIO10nwdhoxq+l5/x8KPgpaTE +1hzE7VFL/ipBgLEv5jlSqXNgGTP5M+UhASK3tIv26MyBxCkfv33jBHCUy0if +GpiFuu/lnbNoFgTl9xLSfGdBOuVxuk3qLNB3/eHX3TkLbNfUpIbY5sBbTqSx +JZMAyju5iotd5qB9n16jwWkCcKYlvVOomwML+mRR6igeXDbj2K4cnIeP6c8W +iQF4sBdsH5K/Pw+fwrDvpUTxgP0NWWY98zBtWm+hUcp6VyJjpU55AYhLh0yQ +xQycGFzVxoctwP47fxRl56cBp6G+zDm9ANQj3K8YwdNQio89q6a5CGk1Umdr +DkzD5qOz80diFoHu5DxY6TkFLRpeoiX4RbiGSfs8lT8Jno8eSvIdJ8J+9xbv +piEcUKN876RFEkFc3Uo5mAsHQf3XqnePE2Gk4neUxJkJ6Bqyngg/TIK5v89r +A/3God++trvEnwRFG3ovqkrHQMX0UOw6kKDymo1bN30U8FBFNORcAsFIG+FM +yVGYDnTXa7NcAgVXXxc7hxG49HKqNjthCeJ5Hz7tjBmGXfq5TucmlkBHlPhR +uHYIeEwf7iqTJEPm8N0HRpuDcEdHnfnZgQx2jov7VBUHwbW0JmAjjczqw38v +b7kNQPKM41P2cTI8+ufOm5y8fjAMvyokIEiBiGyrz1yjfbCdUySi/zyFNQ8X +fMxF+qBGizex6DEFLr/X3rI06oX2j3GotZoChfSoRIWAHrhujxPJpFDAD0d8 +1evVDQKqt7PX9lNB/VZywbfOH1CO7U5YMaOCl0PIn8fGnWCJ83FJ8aeCCUHv +b2VGO/zc5VDkn0mFXLXvPG/Y2yBeeP24eAcVHhKf2BiHtgBbPJ/xKJUKDNWD +57GT38DszCP8MUEaEKZWQmwvN0O0XWTEmBoNLtnFNKwON0JPdYlCrQUNsC4b +JZynGoCecbMjx5MGlPzzFd/cALjqf4VbR9DAtPiiq9eBr+BauygTl0qDUmOC +6p3ZKqib2jzTXkaDbaVEXy58BTSx/S4520yDFM00gdh3ZfBp9GBH7QANtGSS +L5rKlkBkwphs9QwNLm5tq1BTyoevTtxtJ8k0+CX+48ev0kxQO8VmJbxOA1Lp +jpKOs6+B2/+uIdsGDdbtsM6NVyJh0crwzvBfGgQZ72+6BfxoF1f3n60tGnw/ +oKg/JR2JTqfLfp1gxV2DC92chV+jIDaJYCHW+UlR3/cR7zLRdjPjXkXW/X+O +ll3fOJ6P9K/LxCqw6u+QmCRWFZQgspD5bPk0DSRPPq8/MlqGGj0qTT7008BP +O7iU48Bn9MQ20ZatiQY4X6acpWI16s04+HbPRxpoCy9E6Mp/RQdPF+cZsvix +SZaL1HEHFGafOGPJ4q8x+yf3oEYDChLYLZrP4vckhSNdcKIRcbv7RN5m8X8Y +a66QHdiMHvzDEa2rSoP00KuB2F/fkFz282AKPw0CuF6KfklpQXHo4/EXZCoM +y8YE6Uu2IStcpaJTKxUSyovULL+3I3srXHLDOyp0+fNMlN7oRHWFNPMHvlSI +71M+a7LwA7l2OiQzTahQnhrI7R7djZjHMrwTRKlQgmk2morsQdvcLFMMiRQ4 +fZ4YUm7Si0YV9z4lVlEgvFd6rkO0D7ndcrxhzOpvnkzdwq2xPuR/oSnMmNX/ +egXeKQFF/cjr/eOzxSzdOH5eO0w0aADtiDmJ5Rhk6YQ2+ceA9iDCN88ef55C +BiuXUv1BviG0PeqCwyE7MgQPXRJZ7hlC9CSG/EkxMrjNpsyrxw2jR3xper1j +S7AlvzpZ5ziCxpSMbsqz5nvii7Kmi9QoOlDe8SucNf9uEUFfzVZHEe9zWzMH +jiU49aYow6JyDFncnYkKryXBd7OgkKCAccSbJD8cc48E7CccIynGE0he98Pj +XlkSRLjLrNzfiUMCWryj+FEiVAz8CTfF4RCtjVcL84QIWeN+eww/TKJiVUKy +gBoRhlS6tmy9ptBOc9O9nqz/b3wilcfu4DTyKHTNz4xeBO9WIxPB0GmU2j/i +4qyxCE6hX9nw09PIX1/8xJvZBZC/VNvdZzKD/tujeCs2agGELpSY9+bPIGmv +SH3/4wsw4qaIXxbAI8sgu8zNgXn4bea/cvgBHhHi2L9IBMyD7qWyco8xPBLV +IYekHpgHu6DAqK7TBCS3NiGUXzsHya1H+fWzCShCJcTVzHkOTuArBFvZZ5Gu +qUfGT645yBP5rngHO4uc8OvVCjmzgHxb1uRYypRnZV1iZjILRSSrPoz6HMLk +6zHIeALoRHY7LSTNoTmTK/HFYQRQWhXzxDPmkE2ic3SXLAFW7tNjthzmEaez +9L0HrF96/HClvUL9PDLd+Bcf54iHNzSu0wHyCwijif2qw5yBmgi57JG4BfQ4 +oTY1OG4GNvVPVRovL6DO2OhSrOoMCIn1T47YLaIwC3XBXa3TYCnqWBnwZRG9 +aXsyFeI6DSRCNa/ifiLqirMx7uGcBvuOd/6YMCK6dM7R6bfOFNBH/F4SCETk +e4fy2jpoEq5uG6xfPEdC4ilSvz8U4ED2F7vXtk8kxMk2JqPN8h8CwjLt8nuX +UDFTNUjkwAQMmXf94xu0hMowT7XdLMahtsjDoR23hPQ2AqJUXozBv0deJBoY +k9HEIVW/dhiF/26Hne0tJqOrNwxxJ9ZHYHjSvdhzNwVp6p59jDRHgOI+IqcQ +SEE6atyuVd7DcHifptX6KAVN+vilkFj+qy7J/deAJhU15eL6JVi6pKwqXTGU +QUXWye9yXDUGAWidUvPbaMi6adLIK3QAjnSwZYl40tAR0eUvAnX9QPflyLjW +T0NELiulc5h+8JL6kzuyj47uJ9Ooqhf6oCvpa5SgOR2Jp8eLBCf2gl7amsFg +MB1VpXIE32b5z+u7ErQziumILcJ1/5Iey5/GBKJgPB1d6UuSN9jfDc/eDIrh +BZaRpyw7x8veH5BUHYC5bLyMBsI5eErvdMLHW/F1VoHLiG4j9DRYpQOCHu/9 +Ypu3jE4dYCpOMtpALW+8wWdiGUUHVTkTC1rht9P+DD6+FfTMtfk040ELFMml +R3/VX0HWBFGzY6e+A7/Ns7J791aQyb42fdVt3yBcaLmsImcFeUff9r640ASz +hJRazjFWft4O4wqW/7cxqk2f3LmKAmWU3v4oaIB7fMaN9VqraCLQ26A7px5C +CULUcu9VJL7TLfmcDMC7c9dWlNNW0W0j7vpTqrWQ20TEVPevollhYV116S/g +mIE7b861huLqc8dtVKrhiICp7y2NNSRTMtwpj60EXNxGZjJ2De01fMJ8EV8B +WZmyhWZv11Ap7pm2I7EcbBoTavl719CpqsM37VTK4LMJdtf09nWklKPzJTq2 +FOSdazROqq+jyMJQvKFaMTyNMsemeqwj0cUziSpHC4FpcDUgJmUdVV0/oN4T +mgfCN7sbwtrXkZ6TQF31tvcglRApHPt3HWG03mhl3M0CnUs1BhJHGWh6YOl5 +4rEMMDx87N86FwaqvzrIv7crFTbsdy97JLPiNgWaxamvoemwK3rbwkB6bd9I +HZuJEPCX7b+pDQbqwYtp89vHg7aHcFu+EhNJNhybfPfkOZRqxZ8KtmciPSnR +JndMFPCLXSxziWOy6smfaEwLg9Bnz7b31LPiRjG/tJyCYZKX5/r5dSYK2czn +c+D2B9IqfWPgyE8UwmX6B2/kDdzeFj4EWxZmo6U11LjAHsYKeVvsT4RZ8N9K +zrdh+e7dBvm1LOweZi6WawBqWreznFZYWDUtTvKTFJAXabaj3L8QJj07VqmW +Hf4HFyXTyQ== + "]], LineBox[CompressedData[" +1:eJwll3k0FOz7xmfGMjNmxiwvRdFiaw9F1tx3KSWEkiRKlhZ7i94kJaW0oV5E +ZV9abKUUSvYWS5GQJbsxMmakQtbv/M7vr+d8znXf17Occ53neZY6++xyIxEI +BCKRQPi/0eR4jKWiwV8gJKbeWl0kBjl8/wJ3WxHzBrZFn1UFZ0WCQcV1Ee/S +JBT6bgW17tnI1Nci9m6jrKLvhVia25rwob8Q5KD2cF6DC0wYs+quL56EoDPq +mfb2PnCUqWXGsJoErHb3um14Gip4D+oSL4t0tZSnm5LOw+flXpFG+ZNAoMo2 +cGUuw9BUnoYLT6S/yc7dsOAa/Go3bo5RmIIl9moW71eFA916h+0eiymo83B8 +EJp3BxpX5f+jEDgFaD3t2CwVDddkPNp+PZ2CIOWRf6f+xIJlRHTAru4pcJI3 +UG7ojwPCArJamew06Haue8QxTILyDSOP8k2m4em8oYGHwSmgXYjuBaen4YOq +KfFYZxr8Z9akXf1kGmL0l6rHRT4Ckm9fi0vbNBCkuSeVjDLAMVknlMGagRIV +2cn+rdlwxGD0VKnxDGwnlT63y30KwUdU9adPzoD5ad8/pjq5cC0pjrr90QwQ +jr27lCR4DkneYc8WtIj6r8ZkysTmwbFk7t1xyiy4Xty5ffWOV6DQdym033AW +WJTlww80CqArP9ba3msWMo3daB8lXkPE/d8qXUmzEGE8dPsJpwiEfS83+DbO +gm9GvdtytWJwKv255KrEHIQZRh0k3SmBNxnHZXP05uDF000HmdmlsHKFe4+j ++xyc490zUn5bBpdePCxQTJiDutG83N38criZbv554MscWN3M+WiuUAkNsnkH +HhAJuGA08JGu0TuwPci1r11IQJXU3083X3gPh0Z3l2/SIqBc4eZJ5WcfoEL3 +zw4DcwLaddZURPd8BEXJgr0bDxMwW8dNYXp9NcwpFNQFnyegLsHwsO2pGmgq +bPtUF0NAm4dJq8waa0GCvo6fkkvAr36V9Z7an6EovcKvsIqAGjbjVXab6sDj +g//llj4CFii6XoyYqIOk9R5zBjMElKqVG2xPqwf1XRGxyrJErE4b41Zaf4Hn +4835/6gTMTuFu+C0dAMUc/dv2baNiPcze+/7lDRAqQNpz6VDRIzLqblre+kr +mCv015POEpFgX3dB1qAR1MOnvMh3iHjY0IdTPtII5Gta4TKZRCSWZql7vGqC +ozWPFdwqiNirJiWtd6IZiqM3qb/tIGL5+5WDVKNv4C3DOPRggoiUNe87Pcgt +kNYmM1fEImGY/sPtVhUt8GUFKPFWkjA36UHCojutEFqa9myPMQmN1If3z9vd +BlF0dNq+n4TbM+La+ax2iOmY/s/Yj4Qx7FsaQZ3toDL5Z33wLRIGlKgfepP4 +HdIfsPd9fEjC4D+D5WEnOuDCqFNcVCkJnZ1sXGqxE7pv8y3SWkiontevn0Lo +Ar38e5eKfpFwICJlMfFYF8gvD1GVp4thshw1yLGqC6zNUrh/lcXQfeFxh9wV +3XDhT+/q3o1imDU2zRqL6IYNg06dTFsxDNsiyfnnZzf0uBDmb/UVw6sNGcQ/ +dj0wf3l7YVGoGKpG5S24V9ADqQlDga8TxZBuaEUYn98LavGK70oLxZCbSRn/ +GtgLmtwbZ2kNYrhPXqX4UHsvrMtTeOE4LIbvUPmlzuY+uKHTTdcmi+Pq7qnp +82l94CnWU2+5WBw/0GfeexD7wdhWQuGknjgunAqqNXPth5RR1y8t1uI4NKYZ +V1XcD/abJx5WHhPHxoJ/5bLkuECWzyYVXhJH322aOWpnuLBRL/Hjz/vieMM0 ++9a2Oi4c63ohu+6lyF/YuODF2gHg688EjX8Wx7vG8t/1rg/ARMdFOwJPHOMz +c8kBHQMwSV2RxyZJ4OAR30P3dXkQcp5+/vACCYzOPyG4cIMHEmc5NeVaEijY +GBTO7+XB9+Xmeuk7JVAy95PPVr1BMFpaxqk5LIHx84wDQ68OQmSi/fHJIAl8 +nxtS3dI6CCePqRt6xkrgkqbtAcuW/YAn/TpqLs8k0OhWflyI7w9o5jlHOVdL +II5Em/i9/QE3tat803olULY2l3VXYgh0qDb1IzMSaOJ36kuf1RDoR7CtS+dL +4ofPxPnjMUNQ6UTb2KguiU4vD0kEtg6BSf6y7J+mkqjSk16ctpgPVvuCblg7 +SyKnRXva+QAfcrPooRvOSuLKSWUdtXt8oF57TVWJlMQrte/3k1r4MJiVPm6T +KYkup1kLy9jDULzvhXvYO0mMY0rmr7QYhkWWs6a0TknUpxrrJgYPw9fxgO2M +cUk8+owyo5g3DEHLFGrms8nIuNk6/XxwGPISJ167rSCjVouy1ws5AXQmjmu8 +MSbjN5mlxXtNBXDIZmXlXUcyupPcM4pOC8DTOrbypR8ZbXuv7h6LF4BX40qz +jnAyFm39Pqv3QQBjyROGpo/IGK7b9TeEL4Bm5UkLvRIy1p5YEzLHEEL2qkV9 +mq1kDOv3oQvVhcAIPM/1GiXjp8zofXYWQnDeQ/TOpVMwg3Fi8K27EJjdr2TO +qVGQP6K6RTZECFtfPll2zYiC0/tTdjvdF4Ivp6Q43o6CI5Sf08xnQlDJZ1eM ++1KQt7WT9LtMCJ/6Qiz23aCghrTlzvIGIdRVrBmDNArSVwii07uFYC9g09yL +KOid6KJmzBdCa7xc2oNmCjZ9m3q3/o8QemP2Z3BGKOgZIV2rNCUEY5MvdQwK +FWeORPblzAoh9qxHAFuJikkJUnNzc0I4M4F37PSp+LG3SqtZpFvM6K59ZENF +/vJlJtOTQvDPcx08503F1x5O5Xd+C2HtqxpW1BUqem+oaATR/C2wtygvkYph +xfX6mV1CoHMWNckXUPGFIVH7rGj9d+/KSYvVUzEyq83fskIIcqna1b9/ULG0 +9fNBR9H+lSbDfq8Qk8K4QNtghzghLG2WSjmiKIWhC7VzlonO7/FMWRFPWwor +c/n1mp5CuNacPS6wENXX/qt31VoIB3WLXs4ckcLp+U93rlwnhKIeco9lkBQu +jVOJiOcIgS3jd+fJPSnsf9VaPTksgKIJWefrL6Rwi8I+pxvvBfBT7VdcVo0U +1mjQXgUnCWBh++iBFq4UqvVIyPH9BPCpde3N7XNSaJpSRaWZCaDlZvw3w/k0 +XGjK6pxaJOJKjSd6mjQMU7StG+UPg/P2uQF/UxoucUt3U38zDN3WI0/KXGgY +fGPm1MKQYXglLXsrIpCG/CCOfL35MMyTPd2WFEVDrYvUEMd5w+CR/Df7dQ4N +/4YGUfVE+TH1LxDIfaAhx7NpqW48H86LZVlMddLQv/jbgXvOfHgsU67J/UtD +arxQbkyUT0cf2WAZDh23dCeWzHQOAdn6+l6z1XRcHmpxulCUb71zBrGVW+h4 +lDz+3ctmCMrtl0xWONDx/pYx3XbmEBiXLOuqOU3HiXTwKSj/AWY+HuvkwkV+ +UYtPMgN+gGlaPdXzMR3FBXj8wcofkBDmQdhaTkefYsrR3y2DcL50k8uhNjqO +mvZEbbk1CLsC9M2u/KHj+us2LlG6g5Bz3idBwGDgzapNXko8Hrhqf+O0qzLw +5M9Tl0gxPJA+6UL7Agxk2RUsyQcebJpc6UuzY+BPhw6GAn8A3Cmy9hYnGJiy +YzZ1fcQADH1c5yJzk4H+ZS8N32gNwO+vN78tSmXguUaGrVMHF34dpjZovmUg +6UJ+ePwFLgRsLtMLbWJgo8Xk5Do1LvxNjVMdGGGgs6ae086KfvhUmKlRLSWN +yVkHpze69MPqBRMPR5Wk8XjitH8fuR+CCIdTlTZK45vAT2G01D5w0GAxovdI +I9tqcaOiSR9E/COIDPOSRqtaB5rXYC8UBgqSwq9KoyJXnr3pci94aq5a+DVB +GmcfmxywUu0FGc/r8ksLpVGtoWCMV9QDMvaKOr8aRH7zwzf93NsDcbf5JRS+ +NO75N7b13EQ3LLTq+KwmycTkMc7ix6L7V8mObha6iIkbdlqvD1Lvhov/ePd7 +b2DipG79onl1XdC0Z1T8gBUTH5jzMryOdsHz7tyIiKNMdCsyck4nd8GV31FJ +1cFMdHQ4xD+zsRN6rPIW7bjPRKZshXq6fwdEOVFeWzxnojw9U7W74DsYjQe2 +76tloiEti2v4ox18m9XdH/czMUooEOMubwfzxcFvCQQW7ojwCxW3a4Obq6/s +FdJZqGvZcS/vRivcPvAofU6OhZ4XOnyGaluA9XW/rowaC7XTN9sqEVvAxntN +0I51LNQ37H03u/kbbOmJ2WtsxMLF3sPZOdeaITs26jiaifw66byp0ib47id6 +2O5lYfCJtSUEahNcjXKwCXZloVnG77jFmxvhe1jy2JHjLDxhUKydEvQVxjMl +LX0CWdjqlTHYVtsAN6WnB89eZ6F31cXeIUYD9EcyDR5Fs7Dc0qci1+ELFBx4 +8aklhYWrE7thML4e9Ei/ftY+ZWFkzJDMb24dBFZZVve+YeGzHn+lsI11YNi0 +cWDmIwv5+mYT/azPUCy5o0CviYUUP6XsjPJaELBGFuj2iPy2nJFqulgDMQeW +FesLWfjlQaS548pqINefkz84xcJRZ/0hC+FHGLni8vIqhY1lHgdLpRM/QMi8 +vp4Tsmw0P2AbftjxPXRWBVdeWMrG+GMhx902vIPnZbk/ItayccTW74LWTAWY +dxLeleuz8W1KnJVZZzncbuRpFJiwMdshzMKgtgysmc4dubvZ2JOhWiaWUQqN +Xn83fTrIRoXUmY+yKSXwlanEG/ZkY8xwGVrKF8OFG32Kdf5sfPo2NTdAsggE +qyqpX0PYSDFMWN6j+hq+MXV02++wEU9mHHy+ugCuyL1XkExgo0P32dYw11eQ +48oz1spko0rfJxWHq3lw+XrKpEoBG/M/vHnsUfEcFBvPHdGpZOPXtP+an6rn +gqbLwLydX9hYMWp+4vr1pyA1z/fclQ42JtbmSlupZENY8p3jF3+wUaMqQdPc +OQNunTB5HDTORgLno3N86COwPiJ3N0mMg4+UkrMWkdIhPCHg/TsWB0NtZebc +PFLA/9mZq9mKHBw5tSbyPjkJlvP+m3m1goNdfsMviF1xsMsZX1Zu4KDV9/2t +vimxgESa6p/NHGR1bsyhTkRBq7+P8sBODpacd0qUT70DQm031+/7OUgoO5vT +9SQMPszz3//nCAedCrJAwL4GCgaLoth+HEQCPsxLugRal7svW17kYFBojnep +2XmYSDcSt7ol6t9dXv824TToMNa8s7kn4h2s01KLfUA/Rs8oIF1U/zY6IXen +K+Qfbp5Iey7SXb+bgYMt9Egzjf8rEXFw8plLLsZQ1+ZqnFwjYp5rbFaaCnxg +HSBoNYg46P////8DBjRwiQ== + "]], LineBox[CompressedData[" +1:eJwVlmc4Fmwbx2WUlQf3nrSTlEhD6ERFJSI96FEplZGklFVkpYSGiGgQmZGt +os6Qkey9975xC0kp3vv9dB2/4zrP//hwHce16uxlo/P8fHx8y5bx8f3/7FIS +XvzSLQ18UbFBmz8KoNUqw1tjgzxOeJaVZLAGQXFqRd93HtsmxCWEa2GIt2x9 +5yKPXx95GV13HFN+2RsviBLAs6TuUpmMJWpm6VNZVB6f+6AeFmGPnrplF5et +I8Bn/h9P6tSc0HeZxfUV23gsl5hF6XRHgcW7BVIaBICTXSKqGT54QKamSP0Q +AXq8pAMU8+6ioG+CsL0JAWShWe1X3X20L6R3WpwjgMOpqNt73wfjs3XJVtcd +CJD29V3bX+oT3F9Rsf2+O08/JNddVzICM8LE35X58/ZNz/z4vPIFflMbKi8O +5fnNSU0gKxpPrzOULX3FyyvjRu+7E4O5Sm3yY6kEmH8cfOoP5zXe85l1lcon +gLVfQuCpxAQUSU0wWSgjQLgj/+jvW8mo99eNs7yRAMK+TQ90dVJR9GFXAbmP +ABbMlYcsctMwSMdCX3eSACFihhf5NTMwv+WGrdpvnv/bo2In6zLxfMrGr9tX +EEHRXs6B8yQb6+NmOvUJRLAzUJmc1svF/H4DlUuyPK46dip113u02V+ulbKZ +CMJJ52KdCXlY6NnV/XYXEba7BpYZzOTjkyUfzNlPhDfsxH5/McQtMTrHuw15 +80Ezxtp3PqPmvWcK4qeJkKBJaBKMK0C+wwGhCxeJoN9nIa9UWog+iuGZ4i5E +WCwd+jeqvQi9zHYQV98mgnXThUF7iWLUjp/eZPaICGXOk5HmyiWYXqVba/Sc +CA4KGwqumpZixG7FD0eTiKCxLTCs9VkZ6nxVMXPI4fVjNRDta7/i5WcVqiFF +RDBabdIrtf4bah/8m+xWw/OLV4q661aBHXS9eu8OIlS5GGUe/FiJ3K2b1R+M +EkFXUWBPmWw1ltpsOvnxBxG0dj3QKN1Vg4fdC8VTl5GAvfroOqmeGjzYwFl8 +JUGC8G6/u7/DalH8qHL8ezoJ7G4d8crYX4eH/hEPbthAgrG3RD01wXrUm+FX +ltpOgiuhVmT2u3r8nfbYUhJIsPFIutxO2wZck5HdRDhCgtHmMisNhUaUbPmx +tMuMBGLgrN8z0IgumqUXz14gQcuJIKXbKU0Y6Ktkpe9Igvu7ubai15rx3Hge +1fwWCc5+malz29KCuWFN4vaBJHjinTg48KsFq6z+yY0OJ4EFO7ih7V0rPpLq +mwqL5fm/XLdr+lobVi/uvxScToKrwalXBjXbsVay7GXqRxJ4hC7KNy/rQP0L +7cTqchKYeno53qvrQOfQu7SMZhL0hDUemH7ZiedHjzXk9JPA7K7y3Y7TXVjp +mVaLUyRwulOstE+xG3+ryd8e+0OC2fx/c0enu/GV2s4bNFEy+P6KIo2d7cE5 +UiefGIUMnvv6nluW96AEMVqNvYYM5DmlkKpNvRh9fO6DiiIZkrIE5Dc87sX5 +PBuuvRoZ2ld/czWZ7cWFBadMW10yeKie9zI91oeut0gfbI+T4fjWKiHRD32Y +t6HOKfAMGZKPfuZ3ofWjC0HWKcOeDCUd3YLnPPuxt2WFUOQNMhwr2rjYPtCP +EkUrD72+QwaSeOfvJc0BzJmKrc0MIUOFsaa7UdwArtY+8c9AFBn02wX8DwgM +ourlxI6mN2QI1v9inX5yECu7/Mcr35NhQMU3c/bTIK5zvJsxVEyG++7Uv23U +Ifx5iJonUE+GWdV99GOuQ3hfp8RVq5sMW4yjF+3qhrCWJVGmzSHD4Nx8YvXG +YXywc9j04DwZGvmNpE/fHkYpp66XDoIUWCqzocW0DaPE/AW5Z1IUSPXg1ypQ +GcHcY/5a99gUWLwgL9MRNIJrG75KRWyiwNOmCL3yrhEUD49ck7qTAjVvchtY +KqP4zjOA069NAcmXsyuO+Y6ipDZJu8OAAsr1iQ7RNaPYr6vY2WJOgfGNUn86 +Vo2hm6ONwk9rCty/VCApZzeGgb3Kn2lOFLi6zdWIL28MD8mF9y16U6B3y8bU +2OUcrAil5wk9oAA75+6+kMMc/Lp/S5PkMwr8sVmV6B/CwQC6Rbx6AgU0rlp6 +DDdxsFRpTZ59NgWKs/S8epjj+Hat56mzhRQIlAkRe3x6HM33LOQ6V1Hge2/0 +7l+R4+gbusL4UTtP33Ccatc+jg5EpbiKYQo8PNQU/o44gcKX5u6Uz1DAY/72 +OdHDE8gQ0XtTwUeFgL0Xvdp8JnD6K/pNiVPh1FyMakfOBO6r+hJDpVMho185 +fYQzgVpvLI8KbKDCovHTq5msSRR8K5v5jzIVptgvC6QPTaLmD3tTWaDC1jxz +kyHnSdSyaM800qOCZ86kglfUJHJje1/omFJhi/oen70lk0hRcK2A81RITSyb +6xyfRIG2LWn/XaFCVLOHR5oEF23Lrze5eVCh79NqSY4iF91D/g00D6DCp63f +T4oc5aKxz/H502FU6ImpNa+z5WJc9mCcVSwVZMt/p9ne5uJH8RCxR2lUcHm9 +U4gTyUWD+PqMTx+poDTfCAXpXAxkpIymlvP6tTg1Rnzh4v2s2KbPTVQweaeY +2VnPRbFHCsub+6lQsGZau6uXi3rBDRyJKSo8F1ylETDOxd2XSGdE/lBB3PFS +fMEsF6MDhqnCIjT4IhypumaBi5VVzX4bSTSYFcpdnbvIxWvHzXYeWU0Dl+XP +vy0tcbE0+5rz9q00CIk2OvqKd9+lmnpJfQ8NjAcV9AV5+w4CrnGHdGnAtQgi +3uPpl0ydCXU1pkExR42fxvMvyx0gXLSgwVrOcqFQXr79hfMNFpdokJbgvi+Z +l9/v+yY9F1eevoBOuymvn9a5SaEQPxosCid92MbrX7RQfb02mAZR2fhl8hkX +uXaHr9e+oIFugnVDqx8Xn6wyzW1MpoF1zWWGvR0X+Re83y7k0uAeYb+MiyEX +Ryl7x9YV8/JIQkThNi7ekAjNkq6jQZ/O2ghZSS7WyYmorOri6Y/cbvSfnMTY +uxLzyhwaxF00XnxXOomq4kpXrH/SoEThhZhW9CTetOG6nhagQ43eQtsN10nc +LapRfEKSDnNytBJRvUn8UJ1U4sykw72Nxna+MpOY05Ig+lSODruMVgbOj0/g +qTzourmDDhFBFDOp9xNYXjhn5a1Fh3yFx+bxfhOYvlJje6ABHbwekEtqj0yg +uXtMTu5/dPCPqKgsIU+gt2LEjWFrOgi+ieAutYyj8cdNNa3X6UCVIfjsjhjH +MfvWtgkvOuw9qBtScXIcFyxpG5c/oEN6Q9UJPfo41ro7y0MkHQImUs5daeXg +sSuXP6nF06FwNclqSzgHDRLmH6tn0eHDzP6yAAMOSgpGyVh8psN/qmHHCaIc +1ItpmfCrpINUld2Zxfwx3M5MPuzQRoc0rfovQZfHsOd95OkbQ3QIVp2hlq8b +wxWRrJx7M3SYOWjUurlhFKejPhbmLdHBdK2ohrffKPp7/jz1VowBlX9HrVbs +HsXImEL1eCoD/JxT5bz6R/AKJ7nu81oGDFXvj7QMHsFCp/VJXdsYkP2o3oCw +ewSb1+NOtgYDMoQIApcGhjE87bux7CEG2G5+PmzwcBgb3LOz1pswIH2lZ3+3 +Mo8vh5XrWzKgv3pH4O2OITR4LbrmhgMDjF1u6eHNIXTKoojZujNgId+m/TVr +CM+OaCW6+TPAW9xHQvjLIJadXvJ/8IQBt5JrbKfODOLMQqtsySsGBBfGOQQI +DWL5FX0dTGXA3FOHC5pJA8iUN07Lz2PAiTs2J9wODKCSiEt1eykD0kgDmDfS +j0WbFLYtNTAgzqor1sO7H/nW31w92MsAgYrcnYGsflx2YPTr+AQDRpQDrKc+ +9aF74nDm/G+eP8mBO2jShx+3CRqvX8GE2zbfisx/9mLi4w8+ZAKPM5K/OIb3 +ouRu/jlRWSZ88pr7qrKtF/cIWLNXbWZC33PDzuyaHuyVPO2/ZzcTlK/HVM9Z +9eBh7WtJzvuZMPPu+Svq8h48Y7DmPydDJrSKOHIO6nXj9AtLfddTTEghDK9S +u9mFuoTCn89tmVCiKtJz8EMnUr+l9/MeAFgHJOq7jXRgiPme0xm+TNhUu+0g +H6MDG6X7H+JDJpjpS5wY/q8dH4kzhWqfM+EySb/FPqgNpeQdtwomMcH1wMjT +W5WtqL7m3OivbCZIhCSG75BuxYFTQ4MzhUygbldcy9BtQQP0C/+nmgn8+Y83 +JAU2o4rlu6CtHUwYpxl3tBU2YWmPj7T0KBPAcYX03sVGZPlcOkL+wYQdb/3K +TXUbcdJ6pJzNz4KS2gm+Yt8G9HH0WtSVYEHbH7LcVHU9Pi5IvubMYIGEprfh +n5X1aDB62c16Iwu8bQaMMg3rsFrFaMeN7SwQV2fvlIytxfLi4u3Bmjz+pLXA +GqvBe24mo+VHWNBjFC9TplGDP4R9FErMWNDi0fCHqFSN7W17s4ovsCCrSnpT +anUl+tXSvw9dZcFs+XsfkXsV+EbsjoO4Jwum28fZHVu+obtM0K3ZQBakpinr +SPZ8RYJp+r7FcBZERE/5WaSU8f4PF/TE43gsr15LsirFX9dURdUyWOA7MlHz +RK0E3bjxJls+sWAk0sy+lVWMTilxw2u/saBON/Gs60QR7nhYpKDezILx77S9 +y1oK8UrOlRyzARaM3V8S98ooQIdlGj/CplgwoLfswrX4z8hYH1sU/ofXz86l +K+8ooub9yIbnImxQfDTjmL/jI8oz0iO/kNjAd7Lg+EmDPHzIMXnNXc3jnz8l +Xxi8x+jQcI3OrWzYnjhgzLTNRadzP4PG97Bhtt3uWHhWNpY4DcvwHWRDfsCS +pTYnE9tTflipHGeD8K1NB0KPZmDkp+d7Fc6woSzqvLdLZRo2STebydmzwfqq +6uF1TqnYFLBlk44bGxxUPYzjEpLRUJeibnOHDZ51vru5RQno3PW0+0gIGxLe +tG2OkY7Ds09VdxlHseGhox/jd3oMloXZ1Z9KYcO8y8YnodrROP0hf23Ae55e +5lJ65b4XGLbqxbu8El5fvb26xLmnOHKG1pFaz9vXTK39JPYE/5ZkZBd1syEq +eqJl5k0wBl3urO0Y53HvQ3uhpPvYq7Y+lvKLDRbTmq6Ny/yxt194OUlIBmSV +QuMzBXzRvOpmEllaBiBY5638FQ+M7JQQUmXLAN+AWKx1shMeV1R6dUZeBjy5 +3g4UycuYZ86tOryLx1dnkvcmWOJgSnm6yT7e/IvvO4/m/Iv3tHY2WxnyeMg+ +JDFGG2cXCjKenuSxoig15/1a5J6MWSd1jscWj8LvBwji/wDw6vXJ + "]], LineBox[CompressedData[" +1:eJxdlXk0le33xg0NppeSBkOc5zzPSXmTiohib0qRISKUEpImoYRSJJJIEoVM +JZFEIUQTEiIyR2aZD85R71FJ8X1+//7+utdn7bX3fV3XXuu+CUe3PUcE+Pj4 ++Pn5+P7vnO9vQj5wV0C+kgvsse8CwLV3V0kOoHnPNc0OTT0ovtfSUnyT5q1m +64/m7gULwX0b+RJo7mkItmEdhij0khdMV0D/U2tS566fAtNSykQkXwFLFsao +Nmd5Qq3ztw2qpQqIpjF1vaa+ULl79z77TwpoH1AcLucaCKckdy4y66Dr/yps +2PT+GrROH/B1GKbrpVFWX8zD4TU1T9mbp4ARJQIFHhciYeNLJ0NrPgYyxtTV +F/begU5Tqe2WCxjoLvetoO/cXdgeJGbfJMbAiNvfbvAyE0H80xLrfkkGHtv7 +qNFl8j7cKTzs5inNwPVKRV6adinw/aZ/3YgCA1fcEDX1HU6FnPOZIhMsBn5g +i3TYHk2HdfOJox5rGRjb/tphl94T+CflYVLoRrq/sHn9uPhTeKc8tTJwMwOz +t5nnxXtlg9r+8Ld/dRjYy2/wNGpZLgjdVdcS1megkHRc2ETGc4g7r9gabcTA +vLuxpW/O5EO4i+LufHPaz0GT5EtrX4CNMU8835qu65Sq+4wXQk+t8KS6HQPb +Eqscqr++hCqHdZmGTgy0L3axf1bxGtxj4kO6T9D9ZhK26v1vYaKo5anlaQba +Vft5cgNKoIYj/8zWm4FhPP1DX6+VwvHy7PoBXwaaNdYuPJT5Do49Na+dDWTg +w8R9yy3KykD7SvHi3yEMbPdK8hXueA91M57e3hEMRL2Z8r2LK6AsaEthUDQD +/YYu5UtrVoJnjqHMskR6fur6SgP/D/C3inofnMLArSf37+VVVsEwT9ww9DED +vcDgLjlXDeGlulzxbDov2XbtUKsaiLkf6E4V0P4aFsQdT6gFO0J8yevXDPwc +kmYhL1gHM+FH/qqVMZBzHXUPqdXDb/GadzpVDGwMUxgI6qqHgvUXHlfX0Xre +p0bZxDRAi5PmyeEWBmp5V3gs2NkI1UHvzw52MNB/m+hm1alGuGgQe+/IVwbK +2WsqaeQ1QcTKC6leIwwU3+wVaXqsGRxvp9UIcxmY1L1z6qByCwj/StriN8XA +nUm3R+MnW2CJa1pQ4Aydv/+Y98b0z9Ae9GNSRIBASkxzrsK9FQSz92qQQgTa +XEmdNFVuA4HKy+Vy4gRulb7UozXcBsWXfpyNlSKwwedO99LcL9C9y2fuoQyB +ArdD63e5t8PLFzueqBIE2meZxttDB/xSZ260UCTwgtO58PP8nSCWUs1nqUzg +0L1/Da1KOqE7+9XkZ1UCk/k3N/Xc7ALJhlev2JoEtjAfdEyad4Ng1Lx0fyQw +kbfnYgDVA9W6Mp0/dxB4fV6JvuN/PcATgLE5YwLT1+7WWL+/FzqOZMqGWhDY +J+5q8u5dL1AdqxVT9xF4MuGqyg6qD7Zpn/FJPkRgmpyYRkFgHyjozuMqOBPo +57rDWHCsD+ysU3VUXAi8EXCuXMHoK+yXuPms5AyBpZ0W98Zzv8LaPP1jqucJ +7ByPbnVc0Q/2J0X/qF8i0Mt1QViEVz/seS+d8zqIQMbAsaWrOvshTUVDt+k6 +gXm6Uy+dtAbgxdbE7ycjCby7yti3/t4AJKnXjw3GEriuVc3wvsAgDOtJlkwm +0fmf1lu/xG4QOJMJ+b6pBLpE/63eVzII1jnqV+OfELhN3t1XR3YIou0Sk+Jy +CJwXksDv6zEEkVnGbbKFBBq/6mlVaRyCSXHRCeW3BL5nP44MWzMMYpKS5Lv3 +BFYfFoqVCB6GWf6h+xofCWx9400k9w3DnnlV09oNBF6cCpPlqY3A4XSeR0Ur +gTMHwmv+3hiBVjuVqp4uOr+4s4FNPSNACGg4t/fT+5EtyqtZNwqrdwZT1mwC +V2m6SAkHjsKtpKE3TpME6jLPhmnWj0JhTPg53g/6/oGMGVMmG/J9BS8c+Uug +6GX+xi2n2SDlFWxzVJCJQ38G082K2LB+kaX1mDATS/7sYVTNH4Onr1U28C1i +4i/TfLVAozFgi1iujVnGxD/pLm6ad8bgj76+m8RKJrbl8NU97RgD9yj9GytI +Jrqf9Q/MkB2H5IDwmpQ1TAx34l+x/NA4qF0ZGipWYeLVg5tD1yaMQ+IZUcM3 +m5hoeM3+r/7ncXgvcL5m61Ymzrs8HbN9yQSYpE4pmegxMba0RlFn1wREjb7M +6DFg4nZ/dHwSOAHPZEzOWOym9RocdGkumoB1dhwpm71MbD+fkbGXPQEntrW1 +ddsyMaI5m50vxwGhLQ3ePxyYOClVKZhkyIGLCjF23KM0z4kIJntw4GlqqvMJ +V1pv4hq5ySQO6OmUGXqdZaKd9N6BdeUciDq3xnTeBSYmdA2k6o1zYEd5kjJ5 +mYljFvHLfcW5UDfba0kG0/o6U7aMKHNhWfvJxNQbTNTb8SAl0oQLxZXzSwuj +mNiXu/Nm6zEusKxH5pvGMdFt7veVr4FccGiKiXx/n4mOWqu1++K5MOdg9ftj +GhN5gjqpP59xwTbyYODeLCYq2KdpbSnjgnSLx7DbcyZ2zX22b23kQtY866iT +RUwk+Db0jPRwYbYlwH60mInNPNuWqDEu5Ba9EfpVzsTjhxqEZHhcIEG38VoN +EzmjAgbvfnPhRM6t/t+NTMzcf+Bg1iwXlqh/q/vbRusnz4nMznHB58DdroAe +Ju65XZbURde33TGriB1kolnbs9ETM1yocjOrXz9O78/64EnJKdqfxkpmxncm +sorirqqNc6F/0bRp3i8mnl4G1J0+LhwKW5mIc0y0lE30CmjigraMecXB+SSK +Za0Q+037+3bbXOOAKIlLY5USAnO54JgTV9W5mES1aPHTUolc2NT7XZ2znMTL +4asYJVe5UJEdVX5ZnsS3IB+20IULyq78CT8oEr1stvD0dnPhh7afyR8lEn3Y +P7et28iFi8+2Ma5sINGiIvKS+iIuHFFd8SlBg8Rw7eU/t3I4QD4sKovWJlGL +t+lPUzUH7hWktEtuJ9HXZUGQ5AMO+HYk1CjsIvGXzKbrvec5IP2gu+epGYnj +1zdk/zTiwPILKp8+WZF4NsfKdkKeA2NoMq/+AIkftF5lvpucgIvpz20tDpO4 +ddjR2/v1BLgx14QfPU7rDeOriAuZAIbOcN9fN3p+5ESNgdkEPNpxxtfTi0Sz +q6qy9UsnIMJBjOd7kcRlXXfyrbrGQV2ee10okMRVwzNOIknjoDPRO0uGkJik +/uYfEYdxkHt8r4hxk8RZp33mF8lxKFzw6M69OySmB1lPfOgegwKvN8bP4kk8 +9ChFfjRhDE5fk2PpPiAxK2PoVrLFGAgEG+jnpZMY4SG+qEV4DKZiArHwKYk5 +Zs/UHMvYkLZr4UGdfBJHkndf2ObJhiKJOMu9r0gs3ZxaUq7Ehgel01EmpSSW +TAmXm/eOgsHSB7zKShJD01bO/roxCuYX9q1vqiVRJCRN+xWMwvLAfTfsm0m0 +bQmMPTk6ApB4rNyvnUTXeD5bn5gR0H64Xd+vl0Q/HVHTcf0RmDY+PjQ3RKK3 +6uuX1PgwLBdKdJScIDGbd+3HvzHD8N1Jmu/RfyS2YUtSu+YwpDx1HJT7TaI/ +O/yUWNcQFIi8SiX5KOT4nTM8FzQEwUIHgjMXUCghH1zlyRqClyvEtleIUZjA +cpYYrh6EsqUhBqWSFP76JsW/+/QghOSZuupIU8i/bG2+tsQg5Bwh3YwVKMym +egpmcgcgphBvdbIovL3a6c1m0wGw+KU0Y7KWQpePsyOx7H44vYtCs40U1rtL +1cjd6AdjHee05s0UrgzyvKeo2A+h218Mj+pQmOF/Q/JK5VcIGjfbdVmfQiO3 +6xzXQ1/B6vIL3rQRhVni7Ivtv/ogZLWyj+AeCgO74oW7YvvA5/QY46YNhXZG ++hoh6/ugtqbwwRM7CsU9pa9//9QLP6QsXB47UVis+FJwjVsvdM5usl5zksJF +uW1Nygvp/31GcaXWaQoLTGbjdhj3gNJdx3m13hRG5DYXi/p0wwLqhKquH4VJ +hwrlh590wfZSf+0dVyhU8p40+TnWCcDXe6w2lEKpf3q0zeU6IcHc1G0wgkKD +BZluErYdUHcz5E13NIUjg5GS25LaocTtH+X9iRS+6ZuvhI1fYInjfW/nFHp+ +ffLzeqkvQP640M97TOHlUt7C2wZtEJ3grCSVTe9rw7VjQtdboUdFvkiqgMLq +gBbno42fYdBJ/njMazqvozzVONHPYJKpzMt4R+HXmZ72UIsW8LgTdB+rKAxt +tnRvutEMbiczbr+oo/e5JmEutrYJai26Dxe3UNgiEWslKdcEI137LQ07KUyf +ve386GAjHPEZUnD6SmGk05MkTlYDFAqCqv0IhXkLpH48E2yAqnW9R7s5FIqY +rzGyNamHrS4R3hM8CveIyUwsN6oDF6OQdL8ZCl3np5gnDdTCmeW7iW/8LEw/ +/FzQILQG2qdIT95CFqqTUX4exh+Bz0uXfV6chWsnV/AlTVfBNSFXrQgpFgYc +/mItXPYBarf2P1kty8KNriGR3HOVMHKQdeQBwcKrn1vnh26pAOdbXtOZiizE +t3rG0dLlkOy86JnmOhbKfGAwFIfKQMe0CKzVWKhmtbG4rPYd5DBVVlhpsbD+ +pqKdYWkpfI2dWNyKdH9ay02n1BLwJF4NjexgYd7iImuBncVQbtTQedGEhQl7 +tVaXKb6BMcc8me8WLGxevb07k/kKPMLSVH/tY+GH0NABxd1FUGTdd8rfnoUH +DH0OPHR6AQ6b/g2868zCsP3/nvLMyIeeOd3eKBcWOr3jxf1cmAcyLsl2izxY +2Maelvq+JxdesCUKV55nYfZG41KXj9kgKVq5MesSrfeF+Y/Z409h2wqjqZVX +Wcin+7xg0P0JfNbojlQIY+E5n9Pe3jXpsGq6yDwlkoW9Xk6TyUvS4EvNw7EX +sSzc/GlVokZmChjqtaWZ3qN56FjYgEYy3HzY9rgilYUlEzJVNeOJoCE9Elz3 +hIWTpYG3bGfvQqIKBu/PZWGEoPLn7cLRcMTmkYNXIT0/U2LBvuRIEHTtCPR4 +S+vpOwX0wwx2LJvK7+9ZeJ+/N6lpWQjIcvsHBT6y0L7lv4cVQlcgen8h604D +C/3RT3iZox8M5+7LEmqjOe2tDVfJC+JWiiz6p5vm+HgPPUs3EFESvB01QPPS +gGlW2WHoNBD9L4NN31eSUPQk3wquWPbeTZmkuVmJJeuqD2z+WQf5nzTbcK5Z +9bEgt1RpvtJfmvnSfZWr8nT+P/8P7s1mbA== + "]], LineBox[CompressedData[" +1:eJwVkQk0lWsbhnEoQ2hCSSTO9300GCKF8pxKyCHHcExRbb9KdZAkFBmPDAkZ +MpXKTP0pY+HRVsZ+iZDszMO27W0rGh31f+dd613vutf1rvt+BmWGl7W7kICA +QAh9/305Bf4V10QIEKg2jeUlbkelNFWPXjFaN4T4fbbch6wvF6x6pWntJXvK +LcAW7b9LOzrIEhAyr3KbPc1AHekawzMKNM9yzvB3+wtfvSj+TUCFgIYt22ZC +ii6gkYdHvJ8aATAt/49DRxCuVtKrDNKg+a0foQsm4XjXz+Lnsp0EHGs3Tz1a +eBWTh31UlA1p/76d5VP74/GInvt1hX0EzIVZ+j4jk3BUI0chw5QAKw0jyWN1 +Kdimz4kotKQ5sN4cd01Hr6nLUrvtaB5fESeblY2cENZQsTOdH5as5C9+B5u3 +msfdP077B4jlHdx/DxmBQk7ap+j8Pv2eudd5ePRdi5CpJwGaVQnSsg6FuJ7V +93LIl+7vkPfQL/olWNT2eNDhEgGmjtvrLXQeYGb7rXZGKAFxPO1T7vEP0dyz +q382ioCrb2okpnY8QhcVmUaJeJprrfwk1fEYjV+oLoglE7BuUq442KsCOaJB +B2MyCHg7HvWXoXEVfnQdP5+ZQ9ffXvZJV6IGq/5MZm4vIKBWe3M2b/lTtDZY +5XjvPgFfd5TkzEvU4WHt7LbCxwQkj9sWtC/Wo3j7ORfNJwRYt0tahCU1IOln +127aQMBg4LwyK/MZykstC93XREB8OWM8Mp+JbSY/7etfErA9ZNBW5FUjsm4s +F2/vImD0aoLPTs5zLA11eO/YT4DshsApQrUJB0OrYwOGaC7SLFJ0uBknPSdC +AycIyM3S3Sp7qQVLlpzSl2YIOIKWrkRTK3bdGopd9ZGA8cTjDQMC7Vg1Unq7 +4CsByy5fjWU7v0SfVSaLij/p+WhWL4RV/g+v28xpkSIk7LyV5TrxowM99Eaj +yyRIsNLPLFfS6cT8bWO1L1eR8K7Ll6M51Ik1K8v0WuVImG7i7BCLfo3RKc3d +JookxDKYEmn7u/DpVt4he1USjti8Cqya78ISd+cxtjoJOl9cNj4p78ZzsamN +zlq0/zeOub7vG0xvHA4+qkdCleKQVRTVg8HZ550n9tB5+Qeet/N68EW0zJp/ +9pMg78U19inoxTY5AW7yIRIuqNxrUPTpw7ykqhXSf5AwXhvZH6n/FkeVgkXl +7UmouNP0JPfzW2RZXvu1wIWE1/yLa6sb+3FXQsnGF24kxLxwDyci3uHp+lSX +5x4khMvs5af8NoBO8W31xt4kSDq2zndLs7DUW3LR3o+EPFat80QnC58u3HTg +XiahYfFKau7d95jj/mHpeDgJ6kNRhxe8B5HNdgg+FU2CjKFw2B2tIeRJim36 +cJ2Ejq7ElQ6Cw2hr3JIlnkqC9D3xEzzGMCZ62B4XySKhWc/+ZDRzGOM01EzC +7pIQHSL4kVAfwenlkqIJhSSIc1k3imJG8Jew3Ryl/5JQVyoVvWx+BOfZ2msT +K2itPsVQcxxFY4bB+htPSWDpnstYXT2KdkFxRnJMEgxML+kmK45hczEXtraQ +cD99YU9b0Bh+Dkhxa+yg95N0eYvb+Bgu6kp0GPTQ+TU7IgJMx5EhnLDGeICE +pYYaM728cYzydQnsHCHhmmgTCotNoNSX3bXcKXqf633mvd0n8MyJMWMOj4Qo +ZQVhM5zAyIs9cx4LJNz0taD6lCZRKLPXL/A7CQ/Osr6LBkyi4bFNipKCFBz0 +mA4seDuJv6/WkwxdTkH+ClX/k7pTWN97pC9SkgLtzKFHAzFTOGvYzlyxlgJX +nUMO1pNTGFJhGq0qT4HRYLRyhD4b2fI30jZuomBvymbBiVg2Sm4mmm8SFET7 +RKf2j7Ix2aDlde5WCqo6Ah8Va09j/+dHS9o7KCgx8FSriJrGkOelwta7KSh9 +mGNX2jONS8HnbayNKHijYp9WuYmDegNhjW+MKbjYqmJ5xZuD3WZ3pNjmFDgZ +Pft6ooaD25xELwVZ0/XKpwsVLZtBF3tDjQUHCnKZeU/KrGaQf+JK/aIrBeW7 ++kPkkmdw7zoJr0h32m+N4MzhgRmcG8j7fvsMBd+EdU8KK3DxYpxkR+Y5CnK4 +XW51jlysHaxjrvenIOTlUbOGDC667Q9KooJprcw2je3hYotZZHhNBAUnHW+u +0lrDQ6E9TrVbYin4tbBbLcmCh7f37e3cnkhBZIdQ5eowHn6bPi1SnkaBeoHj +tYFqHlbcy5dpy6Ygxuyp3dw0DzV+qpswcilY6WmS+lZhFq+YXG4YKKZArvL1 +4+DfZ1HrLmvt5EO637+NU3r9ZjHnVmSMTxUFmUFlFqfvzuK5+3sGrtdRwEz3 +ExtvmcV1Rczz8Y0UaN5snA3nzmLQwYRt0m0UBHoa3GlbyccDn88yN3VSwJkv +eHZCk48tJkn+5b0UhNnu/KBpxcfNf0f4qb2n9/XgiM3oWT4O9kYe1hijYOI6 +7mJE8tGh9ZVZ1TQ9j//IyVzM5qNOB6XUyafgz8SIDellfJxES8O2TxQkrRv1 +VWrko51NXeDBf+j/ew/Y53fzkfymn2wjpAa8iA3MmmE+VoLgq1FRNTj7R1qe +HZePBRm940LSanCper05c4GPniP++4Rl1KDrUM5V/M7H+Va3Z1Eb1CDBQ3jF +4x98FGl8Z7OorAY/fv57+Ph/FPr85g== + "]]}, + Annotation[#, "Charting`Private`Tag$4762#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], + Opacity[1.], LineBox[CompressedData[" +1:eJwUWnc81t8Xf+wdsvfmSSqprHCtIikaQgPfkhRtIYqHrEhpSVvKSGQkZN3j +sQvZe++9stfz+/z+8jqvc895v++593PO+/N8yJy/fvwiPYlEomMlkf7/l6mo +7cSazDYY3DOySqNN46MtLgdmxLbBT5fFPzep07jtcIbABYFtUGBUKZHpO40Z +kyfZD3Bvg/YLo6FOBtPYRuZ09gG2bZAZJdpjwTiNp/7dNy6m3wZL3iuZHNQp +LJvxbCFtnQw68VJf9wRM4dd1doESi2SYpvwT32kwhbUZ7arip8lw7Xq753+0 +Sewpd1T70ygZxmLZZ0jUSbwSvRAo3E+GutDhkVu+k1iY8/HiZgcZIItjw9Jg +Ev8XaivF2EwGrxWjlUuMk3jCqUMxuIYMb0a3eRyjTmCB3ZYn7v4mQ46MNU98 +wAT2s/W2Gy0igymr2va/BhNY6GWrjGc+GeIYZHaqkibw4QIyu3sWGVhMrM03 +qOO48MCu2tlUMlRq7p94RxnHjTmK+R2JZIi85RSfYDiOVR43Bh/4QoaK02gg +iDaGk92y2Kvek6HKWoXtQ/4YrjQNTS96RYbGdw6HZChjeIc3v5bmUzLoRxhy +eOiOYZW3TwbEwsiQ+sdlRJM2iq2qdU0lA8kwIfY1eQ91FBfafw794EPgib6Y +J1FG8e+uldlwTzJo/1d6SttwFAvmsWiu3STD4VNx2gdpI/hRkql6sAsZVBze +MIflj+DoUC9b/4tk2JLeOLmfMoIXSy+cWbMjg1V6wSmsO4LHZnT9+23IEL06 +1fadNoxZdx7+b+w4GbKt95g0U4fx5QpuqevmZEAXFPbVUYax8/ESugsHif1m +2ulpGQ3jr1pqRQ2IDJnZBypukYZxyJaIrz+1yJBA73ZdmDqEny5Km/7cQwan +/zI3FgOGcKfO5RK1HWR4di2OK8FwCJfdiLkkpUSGoqJOzRLGIfyP/vnIQ2ky +2JRRBzMKB/GhhEwZPlEy/OrO9er0HcS6+//4cvCTQUp/XfmW4SB2U3mV58dF +hrmjY2dbaQPYlOOk5CUWMhTESiUmFQ5gkw0jTRc6MjjyXu7ODhjAIUfGVSdX +lcB1u0PkK8MBfCnswdGueSXwDP/g3MdI+JXqzY5MKcHI+9A8WmE/bmiyorUN +K4FqUeiyFaUf59+uaGnuVYJbqnUWwkb9ePTafMTxdiWQNi8jJ5P68Q9rnms6 +jUrQkMIg8Z3ah/GH/m1fqpVgrHDymZ9vH15YHn2vXK4E1SPjM+G6fbhCv1dd +mqoEmda7rzCT+nDP0JPvz3OVgPOO/MVj+b34pdeuw+4/lcCxzc6b1bcXu8nQ +R3ukKMGjk8l3lw0Iv+Kj+sUEJRC+/3Ulh9aDT4qwqAzGKEHc5MSL0cIefOqK +1oVj75QghrMrbcynB/tXfzje8ZLYv35/prxuDzaXXnBqeqIE7p7231/SurFN +Df3pow+VoKXyxFyQVzemv0Z3a98DJTBMa3K13OzCTZJ7DPffVwJT7JcVFtCF +J3eIzxa4K4GycgiTK6kLf1JeLE67oQSb8hKh8oGdOCow2EP2ihJ8v8e11Z/W +gXdUs1nQOyqBk+Ojmiz/DjyxDXeR7JQg+84ee3vWDjzZv/3IfWuifv37Zr89 +aMePwh72OB9Tgvne0l81LO342tMPx+vMlGBO50fgG7827JU4dO+0MVGPwjKZ +po1WLJ8mVXlMTwlUmq7Mj/q3Yh5BntVSDSV4ZnL5d8xGC37jPGv+bbcS0B9a +bNrj14KPVjPZfN+uBFvcvv1QZ2nBB57VGuxSIPDWcp93+TfjNqPHllJSStDH +dybRjqUZp52g1wsXVoLIEKviB35NON39a7/IVuK+KHp+F91sxMeCDb4LcCqB +vtW2vw8fNOI9ixHWT5iUwK1n37/3mw04/XitgidNEd6s1RwJ9W/AZ6jGSb4r +inBW/4XnCGsDNom4zsv0TxFWe9PjxgPqce3hsLcrE4oQzefAUcZWj99ftGN0 +HVIEzhjn2yYBdZjlquyzIz2KQAEabzRLHX4bLNJypE0RIlgx5Up4Lb5FKl// +U68IXsmtc62stXjY6dLBX1WK4Nrn/1YmvAZ/2F5wTb5MERTdgk22sNRgXwZT +i++gCMt2zsGtzH/xZjn1ZHyOIqQudE0c5K3GMDGvKZmhCNIHOW03mauw9KaQ +IeN3gl/mKTWnLZU4UfYaA1uCIth4zX5LE/2D3WO9P4d9UoR3S4YqJlt/4x4B +L+f7bxVh5kWaSLhYBQ4f2MU5+UIR1sebuCR4yrFjqbCz92NF0Ik0j4lgK8Mt +L+dGPEMUwdmh+3MGfyl+UvTAdN5PEYwHyEmyHCV4oIupqstbEfaGPJEx4CvG +3jZI1eQOwXcw6f1X5iKsM/jtcPU1RXCMFM1Z2CzEb+3ZHhU7KwL5UqzRHGsh +1tWU/KZ5XhH0+6vib65jfH9TblrsrCJYaobF2LMX4OHms+MSpxShZs9oJ79s +Hn7OfaT0vYUi3IjJULTjycGde3eWPjpE5DuWc4hVNhtfyS79smJIxN/e4XWQ +PRPLWDzbH6ijCCP7DcYPr//A7vVHl3zViXoZP1FP503HXJnUp8u7FKGH8lLS +di0FGwYpHevZpgiwTAngFk3Cny7j8iE54nzzk11Omidgo29bpFwkFIHn8s+r +hdu/YP+2o2/shAi8F7vlZ45FY0bng/N/eYj85rzj4WZRWL5/5+1UdmJ/NxMW +C3wi8LWbZokpjIpAsg9gE83ww6vnJEBlUwFIphpbLy8YYHnN3lXhZcIea1Ku +zLiHnt44y/9gVgEoRY8t/aWeIHIe+xDHOOG/fspI7c8rdG7hawfDoAKA970F +qbsfUcMjUvrdLgWQtlvMthv6jEZ5TZPsWgh78xfVpSIekROpdufrFED/mM7h +sahvKDF6frr/D5E/vz9bPzcF/Q4QimsoIfKd5Ph15U8aUimb1TbCCpBqrcH6 +qe8HyhanBddkE/kyqII8Mz9Rn/3GSmW6Atyo4Tss2ZaFLOh+mJkkKYDD3aWb +UZO/kG2ELajGEfn7ogvSOnNR3P0s/qiPBH5Lx4b6Uj6S8DGVkXxN4PHp+Hgx +AFJz/X2c77kCWL515fm6AuhhNsOFoEcKYHN56G04IxX5zO2Icg5SAFMho5zC +DSp6j8ICL1MUgPWWhebWuSJEG/t4bOSuAvDwxaYIrxUj2oNYw6bbhP9F569r +cyXIwPv8VsOrBN8z9vlem6UoOfD4m0onBUj4GyH9makcZd3fY1LqoADlcrGC +YmvliLHhT53uaQXQLKBnPsT0G00LWT2QP6kAEZpGIaUbvxHjdd6JbUcVINt+ +zxWH2T/ojHzgnmQTgn+V64HUlUpkWTb68aOBArSIPvxhMVOFQsqZxrn3E3jf +p0Rj16rRWMfJqQ97FeDLEt1NDroaNNb1QvD9TgUYYdmUcPWuQSrokYQIWQGc +cz+UKZFqEWe42yFGWQXo6UrV5rhXi3Zq8Z+8Kkbwib2UeIi+DnkvDwku8BO2 +4eIhwaA6dDE2cXN8iwK8s1hMZ6erR89SFUocWBWghvZG+HRQPTr39WmqMb0C +LEulmdTSN6DjP1VPmqzLQ4jgx7imew3ooVRwU9mCPJin7qbXoGtEdh7V97Om +5YEzZ58SvteI3HdUMSiMysO8U5dpDH0Tyl7cNEjrkwedMbs3fEFNKCpZJjap +Qx7udVr+TCI1oxzq2pBCkzws3x6ujA5sRl4lpUe21MiDs9d0ch5dC3JsL3YV ++C0P+tZ/C5y8W9BTC68rb4qIfM0nKl1oLehsmnT443x5UCk79DnKqxVdvunz +mJQlD2dPD7hLk9oQv1RVRm+qPFDOzfDV3GtDo91Hn/cmEnw1Gnq4ltoQHS3Y +0v6LPGT/OJsY592OMjnS1cw/yINb9CndS0vt6Lv783+Zr+ThpOpCpf/9DlQz +H++q/1QeoiJdHqnQdaIIh2R2rTB5aHA9IbDp3YmeKV8JTgmQB9Oh7jfKdF1I +rU9o20sfIv/fzlGf+11oPWnZ/7UnUc8nP5z/W+pCbE0RuWK3CH5zOwY+eHej +jAkRES5XebhRIrKNcakbffrvtNW9i/JQKWG177xeD8oerTrEbi8PW43ZrrFR +etBVe7ULLLYEP1n3MOP8HnRxz9Ez/sflQfn4PqVkWg9amz/t6WouD6tayfFf +dXtRPb+UW8MBor63PeLqKb2o0USQfAbJA2vUjvxiai+647xJOqYlD1/c3mUf +IvUhm+H32cVq8lAXc05t3bAPtZzY+TJeRR6YHzZHGPr1If/62W1fFeXB9bQu +i2VBH7qe5vqeLC0PTvcX1kJJ/Wj1Xss+IVHiPGycGm31+lFZ3FrSAz55mArY +tuOUXz8q6D/WsYVLHtLftbwTKepHJ7a8VmVjIfbvqj97gzSAtr96dcGPJA+l +4atFC4YD6BNXcK7zqhxsvXTVe4vfADJ49fXPtXk5eLa90mwqfwDJ+T7ImZuU +g1SD+1FrtAH0+eTR6sFhOfjNPfz7ne4gcgqpgVO9cuC+67O3C2UQlX01alFv +lwNJFmHe6oJBdLxrNH5foxwsV3gWLK0NIqmbJqfSq+XgWtf2saN6Qyh09uW2 +D+Vy0Jf4alXr3hDSrHnbzkKVg6Fyq4fH8RDioTYciMgl8tXRdqnRDaPAT5sN +wT/l4Ozz87mxesNIt7vbcOO7HKjECZUc9BtGz9nTG3sTCLwbgT+88TDiO5Ql +PRgjB4uK3b881odR4+BtM6d3xP52hul90htB+27IvD4VKQfkqel4u3sjqMUm +qLT4iRwcp8U9OYRHUOxJyaRjD+Xg+9qhJ2ukUcSjF5955IEcMKfG3jbQG0X5 +gcMfy+7JwerPE00ZlFHEmiv8PdVdDsZu50jkFYyi+wz33bJvyAGnBNf90LVR +tC8xSkTvihxQhdJPB+mOoTC92H41R6J+v7klBL3HkNzuy49iz8kBVONz9flj +yJZX8nSwtRywh5EfyK+PoV+MzD3Bx+QgSO0+6GiNo7OnYo2ZD8vBi+3y9/y9 +x9G7l/uq/xnLQWLHA/Gzv8aR1J776vZ6cmDZvW//hfVxVNm/dGpUQw6Uq+pv +7tabQEL+F9717ZYD0m3l8OfeE+iLTxDYbpeDW1fqFeUKJtBf5zds+grE+Yjs +iz24PoHknXrZD0jJASXDf/9urUmUV9g9ViYsB+nRspaHvCfRHPvf6V9b5aDL +2PvQQvYkupJ+p34bpxwkaSsWVq9NIs6rFtezmQh+YvmW13WnkLmAqGomTRZi +MjhLPntNob9i7/7uXpEFtY/fs5jyp9AMN/cL8X+ykGnA9mRtdQqdOK+lETgh +C037Mgck9aZRT1nkM84hWdATsDjORplGHGKDWxl6ZOFWUvSX4PxpdLdy7KZH +qywoXs0NraRNowCX3oXT9bKQWmHX+KhwGuVIcKidq5KFx7qCrEEB00ht54R5 +Z6ks9Nh75x0znEamKgWPKkEW9v5WevSQcRpFh5h808qRhbk+v87nhVNIbpnB +v+SHLNzjmRFs9p1CRlZp9yFZFjiDYl2CDKdQHI+gjVa8LJASWQ1ZSVMo/peL +tcwnWVgW+LFnsXAS1d2Z30J+KwsQeZNLInASoYi9sUkvZGGspNNZ0GgSmUVd +dIh+LAuiuk+7vZkmUdTmkVXeEFnIOT/X/IM6gQKOK/2a85MFm5o349YBE+jN +rz2qc96yUHrcenivyQSa81kKvH5HFqIeFDoOME6gkd1JpLPXZeHa+q0NWuk4 +kjESPl3qLAvpcnVCUw/GUcClLBeL87JAtT8gKmA4jgLvmsSYnJWFtn6aRgLj +OCoffZyVbyULJwWdm7moY+irSdlsjIUs1EQIPet+MIYystUG4g7JgrB0+96x +g2OIPsTqJ9lIFurOmduWMY6hSePL30R0ZcG/n/E1T9koEnPYSwlRJ85fyOW0 +SsAouv1YjUtQVRYiHAYZ/AxH0eVEGbxVWRZ8ZuPKDZhG0Sf5cotwOeL8VQW5 +G6kjyOORPZOHhCzszK680RAwgiKOf3/dJSgLM5OqJ+MMRlD9dtUhRx5ZCNH8 +KpVGG0YZczHWp9llQfyPMzOZOoyahariqxgIvpxN1276DqMo5RHx1A0ZEHwZ +OqdoMIweWKqbpS3JwJDaCTsBxmGU1rD/lOqsDEj6VhxuKhxC9w+qBUuOy8BZ +vuCTDAFDKEywJzJ0QAbWrea66A2GUNEK2Al0yYBr8s1j+2mD6PXvZ4e5W2Sg +z1yzu7twEKWviAkF18pA8ZukqVO+g8hZMJLl2h8Z+KDqLKJtMIhMDxql3i6R +gYPtGiqnGAeRS0O8xlIBgbf3JxhTB5CK5Ub/aLYMuDfevfExYADtUma/fjpd +BubkJz5VGgygs0Jm6aPfZEDn4a1cNaL/K815iY7EysCjffozjMR82Lf9wn+O +H2XANCI37iulH/Ue06myeC0DnHt8PHMM+9HfpkqhX89kQCVg6s97Wh8avhYh +avBIBqTHbrHk5Peh9/PGhppBRL2i9OwMKX0osiXVNNlXBrzms9Tf6/ahHYlc +bk/vykBPlKeyI60XTT1+b/3iNrH++BFmR2I+ztYOiAhclYHvv/5eUCfm5zN6 +CTqmSzJgdvl1h5thL3J/yll2y0EGjpfxNAcS8zdgz64DNFuCj/a7X3+J+byr +yHBk9YQMbM5fywok5rdEGtcVtyMyoG03rb6FmO9fH1XwnDORAQp3Vi09qQfZ +e2dfdzQg+H9T+OoQ1I2sy+2SR7WJ/b7QTHeg70aT9IvcXXtlYJ6zbVYzuAuV +vjU+ZrmTOJ++pr2ZhP7QlQ3I2k2WgSjT8985AjtRV+5i425ZGWBtEViuY+9E +hT+k61PEiHoXyx9AQR3I8PX+hXcCMnBPn1r+gL0DMXdyLbJwy8ALkVyLqwHt +aH4PX8pTVqL+4h1x5aR2RPFkCw+llwHGlLC964Ft6KhY1iG6dWkI+BBZ0kDo +r5xvVtuHF6SBR1I1PDCgFVlaKJWMT0uDZtmsfAJ7K9p2LFX32qg07K3aAteD +WtBHfeV2+35pULmQ85SbowXZPD5j/bdDmrifhU4nCX24fxqHnWuSBudbMxwc +9M0oT1dg8HSNNHRIfh9+HdyEfMdkJJoqpEGYXFZaRejPngApLyiSBpvCax2t +QY0o4H7DT3K+NLhl3VwIJTWi4w/zvqZkEnjN77qSCX1b4P+SGpsqDSNBpzYT +6BqQa5tCjkiiNNx47iJz6F49ypaKb1r/LA2MqtzehbQ6tNPzzLfN99Kgutj2 +5VtgHRreWWbn84qwRejrtOnqkF69nMbVp9JgXJm1LyawFjU3aky1hkoDf0f5 +u++0GvRm3OfcxQBpCBGgb1C5V4PWpjJn7X2kgdRdYfCA0P8fLeMcWzykIYG9 +7eLGcjXq+Ri0jm8S+T10f34hVSNz+jfmpS7SIK0x+2UXVxVapTp6m12UhqjU +M28ZmSrRwZvSf3XtpYFs1r/5cMsf1HD/OV2KjTTov5e5bMvwG5E8l2yeHCfq +yXNfv5WtAp0KfOz82FwaypvI2ToC5Wh6puMUx0FpaDkVpj7GUYYUzcSvLCGC +3xK7rCl/KfpIx3f2Py1pSLU04J9hKUGGlTrMY2rSEL1RFudNKkYPAqwbe1UI +Pr9d4rLYixDL220PrBWlwfRYaZIuiYq2fZyw0pGWBtZlXWk3lkJ0J7OvB4kS ++eeY9j7mA8SgEmFWyEfYh9neDwgUoF1PdramcRH7n9NP4iLnoQ+WAQelWaQB +OIfKf/PkIA0FLtdkEmFXbVe0YMhGbdVcV08sSEF09bdze0Uy0R/uG9hkRApS +DV/HJDNloOXtGWdEO6SgZxEKgwXSUSLjpZ8j1cR6naSO8aUUlMpA8Z0vlAJK +i1DWqZZvaK7T63nXT8KeXzrwgBaPfrkNOBYnSIH0Uvp0c/1nlDe0ixLyTgrg +X/Hbjs6PiM+3lyM0Qgr0n8edVtwfhabr6ayCHxDxNO8PlMEniIWRceS8B2G3 +3rPNvU5BX2bcNLWvSAEpNYnJ4bQ8fslgvnL0HBHPEXvyZDEFF84yMhoeI/DS +U7eLb4nAt9+pVKoaE/x5bJfy7aOwg6x614YGYWtw7pjijcafqmMdWFWk4MYb +5c5rPZ/xJY2A6C1SBJ7gtVtldAn4srex8r+tRP6tuifsOr7hGe/bN+uZpKD8 +edSjIcZU/CeGddvssiQsL81+b+JNx31ffJUnJySBR5x36eLaD+xz4eLoQLck +9FRSd/+3JROf2lCZy6mXhAhd7WdrG1n4x4kL2Y9KJYG033iQTigHO9bQpt7+ +IuxnyUv6inn4GNnn+sNkIj6hY5fU1gJcpOOSfCtaEvQVLZIz+ADfVNHep/1C +Etabn1l95SzEtw2v70AhkhCgHnQuh0TFq/x8/chbEpzbqXZ+bEU4jxrVJ3ld +Ek469M29IhVjxh3hH1f+k4SQ7YcpjpwlODp/uJLXioj/fOHqEb5S7LFN3pj1 +EGGv2U0Gspbh+mN/PVf3S8LA689OblvLsYvV2aXfuyRhr/CfW+nsFdja12Ko +RU4STI1iujg3K3D5xbRnfYKSABlUIwnmP/iO0kLIb3ZJkGcu3X9//Q+Oj43X ++b4hARPvt+54zlKFBWj7tW/MSkC0gGHaMqkaN/uoJ1wflABxbZmXXgvVWDL3 +Y/2VFglY/nLoVvrmX/ypbszUuFIC+jyUZ8W9a/DXuC/eIlgCPKEhdj9dLWb6 +VCypki4Bt7pPhY4E1OL37qUcEnEScP6amWY+rRbnsGpksr2WgIDovr6JgDq8 +1f1hYsMjCYgznDhlTFePMZshdydFAuRzq1a3edfjWdvLu3tvS0BN04m3V2j1 +2C7w1vuiSxLgc/4wU71XA7a8Olb66bQEnH067BJJasSvvMsd8o9IwIvIM/Qj +DxqxyMUQ4ywDCajmMDj/32YjlubIGkzaKwHq8n8K9j1owhceldVQyBIQlVQ6 +sJvWhLf/PnjXUkwClFucWB4GNmOTnSrPzm2RAMm2LttrbC3449V/86b0EsBu +Xn7HO6AF37u8TUB1QRwU/zv1uJ+tFb/UN/BdGBEHnSGrG7eDWjG9HrZd7hCH +DIMd/k9JbXh+6dvs4l9xOK3/NYI9sA3LhnvV1xeJw+r3SOdvpHbc2JV1KylT +HH4DWTc+qB13nDrjT/0qDusJzwx2s3dgqcSe9sz34kCmu8bdH9CBh381zMVF +iIP/+mTrNFsnHvuSeOJOgDh0Xeq+Kh/UiQ0zh+T9PMUh8mvC7mFaJ5YKePoj +xEUcvB4LzjEGdGGNHZKPrtmLw/n+BW8zWhdueCYia3mc8LffpR8P6MbJ9Yt0 +PAfFoeSTxtdWUg9u09Y+zqUtDpv6kqOMej34kd2EPfMOcajZbR1/jtKDvc5R +sjqlxeFDm6mZWUEPnhR+UJnKJw6MjY9cHOl6ccbq+LFCZnF4k5P35YBRL87K +UpdJXRWDHTax1BxKL0a7Z5LfTIqBjUmP3bmiXswQFBZwoVcMfKfqu5/T9WEx +uvA/lxvFoIXyw/OpXh/O1tz461IuBuWOg0/yKH346WELSfM8Mcgk1YR5F/Th +aVEeZXKKGGhNcTI70/XjTzwacQYxYjBTui9KzKgfP+lFrlqRYhBjLcR9gdKP +6a9nTas8FIMJrcOMLdR+nNrMk795Tww0GzS6ekgDON2pZpXrphhk2PLUpOoO +YO30zQVhRzGgqu7X/+47gDdatuyntxGDBiz5EuUP4AN54brDZmJwL/qk8yZt +AFd4TT1M1BMDWS0j6WN6g/iT/c+D39TEYDlPYuaK9yDeJI1DvIIYOD0z00vP +H8Sv7tMH+ouIQY2U6s3wtUH8MzOE2ZZTDOo2L8lG6g1hJcE7y640UWCuCBu/ +SBnCjZZGe+3/iYL6YefXFflDmNWUsuPIkChsSr4qOU0axh6iH2+ItIkCNdGn +yVdvGGvzycvLVYtClsxxmov3MPYvF3pJLhSFA2MecYH5w5jZdtKC86coVF05 +pbZnbRhzx+0uH48XhRmdYJ0teiPYVaQjlfWtKBiufrZL9R3BjM7O/QxPRCHy ++t2LQ3kjWPb2hfpVP1G4r/5p7BBtBHvb6nPV3BEFp59+Jw10R7HtehjjJ2dR +GAzM0HGijOKwEl3XlDNEvEG9znHqKF65XCvxzkIUjH8mytTRRvHE7/pHAYai +EOrVEOBrOIbFWLIPHFYXhT9LmZVAGcMfLtNft1AWBeQQqFKRP4bjQnKtLCRE +4ZBoHDuNNobH/E8F7eAVBYtHvlXluuP4h5aDGwujKExZfNsClHFcI1hTJ7kk +ArBJveRDHcfb/sp484+LQNjF57E1tHHMYtzXw9QlAnMSmf9ZGk5gmRcBEY21 +ImD5+Cm9I2UCP2EPT+0rEYG+UZtcvfwJ7K/L+Hg8WwQ4X92aO0ibwKmHz/2s +SxIBzXXjLf06k9hRUOHNz48isJJ+OSHJdxKfyKsud38uQpyHiRJ7wSSODOm6 +dCdYBL568xTsXJvEdrzniq97iYCqkko9RXcKn7+Tf8X0mghkh5KqnLyn8PjL +R9Xi/4lAI1I64FUwhcs7CmN2nhQBqR6txpOkady72QKSpiJwXZPJrEh3Gvt2 +2r1j3y8Cpn2yuvaUaWz7qrSwYacIiBqv8D8pmMbBHi+sO2RFwOdpLmPQ2jTW +5q9N7BYQAeWaXeFW1Gm87dG0SSGbCHjfPa/9PmAav4d7MR/WhUGr1vBylsE0 +vih24+qvaWGo1dWgsBP4l48d8E/vF4arc7fSK6hTeM7o4cn4JmEg5prTGcoU +zuRNueH1WxjOk8L32RpOYdI7I7ND+cJQbesStI02iT+Y672wShUGtvJzWC1/ +Enu0iJL1vwiDns8rvgSifo3STncVXwlDV5/P6DRR3+vGz/nHQ4Uh4Ely2+fN +CXzusaL0pI8wLFrO/IgonMA1L8ijYzcJftCzxdJ3Avu6c66XXhSGFE/hQG+D +CfyD377yg40wLHVt3Lu7OY73twpxZRwWBvbTS2dT88YxzTP9VTwSBjkJTZsT +vuPYtDG3O1JNGL48Vmws1xnHVZzxNx0VhUHZ9OzVxE3iPl5Zvn1dVBjuC8ZN +FheOYcbA7D3uXES9nr+fTvMl7q/Xad0zdMKwbN3ByUHc73wVF0adeSGQ/lP+ +TZ24/6pMfftPDwuB4IkKtbf5o7g7Wzv/VLsQ8MjxNroQzw/fNvr1o9VCcPMT +88oWvVHs7/YtQIEqBHWXjDQlSaPY/V7R76UMIXjbsWOFRjyfONHAjyVBCDSd +VGJEvUewUcYnv4W3QlCvccvpk+4INve6gbofC0FT1sWk1dVh/FRMxiTeXwi6 +fKO5IG8YK/dr4CR3IfhxdvpUge8wNr2UP598WQhmh8dlnugO45hSebfwc0Kg +lruLtZI2hP36VlOdLYWgQ0fmRnveEM6Q17DzMxKCk/WKIOc9hOUMraw8NYSg +Ju329hbdISwk3sfmoiwEGXrXhO2J/mdSa8mqIykE7/lSpY8T/fFPkoKH2VYh +OLHBxOtDGcQNxpc/n2QSglsv2R7cI/qrSPZjEd0VQXhx5yTPMGkQ9w/LHpGZ +EARpxiNS8gUDeGQu6nd/tyAktD06VEX0b0P5O9n99YLAXNpzJsVwAMtshQvd +pYJQad83fYHo//vbJ2x+5QhChMH2jwHEfGhzCOF4kiwIebUyNR6+/biaM3Rn +TLQg5LqrjH7W7ccbz6++ff5CEHIu+EjokPpxWjMuoQQT+LT79XH5ffjT4j8t +c29BCO0r/nLftw8P7n8saXVdEIrbpOzCDfvwd91XYHteEBz9yK+vk/rwD1H/ +JJ1TgsB+w3M6n9qLdTParcQOCYIgl3tZl28vFnN7e11DRxDmCoKDNfV68T5u +3frdqoJQk91zfpPUixucjswryQmC8H/DOJKYzymvkf26IJH/2D7Nt8T85n4Y +HVzJRvhnVNVu6fbgog+XpNvWBWCviR+Zcakb/3jCw1A6IwB6ervk1r27MVmX +/Dm5XwBEn2nKvF7swi0lMuG3mwVg/k6N1RavLtzh5jfs/kcArjyIOL1A6A+n +HgvanQIByJn8dt7PuxNvVyL9dyJdANhHrD4N0jrwHWUpikqsAJDRmf6pux2Y +7+QXbqMoARjAIQuXF9vxpA3HqMYjAUioMdbL927H5qK9vmRfAdDWOxv6c7EN +D2dGOSzdEoCm/fRcQV5tuOluZhrDJQHYcqHGvpzQX2YrhsBxWgAEh1d+ptxr +xasn0oTnjgiA4lqa4XW6VrzL5fHOBn0BYHUtqav3bsHR5448frFXAGZcL73m +oTXji+637J6RBcD91W5VHNCMUw4zT4SJCcBvSevfW0nNeN+6d9EFboK/Osdb +vYAmrH/ZwUaDXgBOJYpe5yb058f1xHOmC/wgbXqc77hXI9ZyaU7SGOWHkTvC +U660BmwbeeuXbAc/hJK0K1UIfZvxbpp74i8/KIuN9RRv1OMLFXVNc0X84GRP +Lal+UI9fpcufWcjkBzfSYvJ9Wh1m8bDZ1pTIDyG7sysZCX29vrSakf6eH67h +xinVzVp84CNDWmUEP8y4i/VWe9Xi3zJTi8UB/OBVMM12gFSLGxxMVrM9+KHh +vJS6A6HvRb39TcJc+MF1Z+7ueEL/Z+TKMb215wfnseBXNzn+4vrsPZS44/zA +6MDBGMRQjXc+VTgQcZAfMha+dZpxVeEtikFld7T4gXSAocCWvhIrR5Y/19rB +Dw4ZHhwibH/wG5WbNdoy/DDvrrOSy/8bP/EN+a3Bzw8q/7ImEzgqME7228LH +Sqx/YmlxkL8c34ka5R5b5QPTwY9ZO4j3I9+vWX6bk3zg+hjBMqkUF7+8pDvb +ywch1uv73DhK8GO9oMzuBj4wbruerkVXjF9Qg+4mlfMBz33SuxTWIjzlOpmU +mccHwrd7FdgEqLiqnvo+L4UPQPZuZhLxfjci4NUS/ZkP3OZ2ZJAEAYfwR9dQ +Ivkgakntpq5AAb6npaL14SEfZKcm5uiz5uEkrTfjr+/zwfLXs11fJHPwf4vu +Z57e4CPeb+9xv+fIxscf7xO45MgH0kWNootimbi87/wjTWs+IPW/29NPn4Gf +nVu8bWLGBzZfGcNf/UvDUT9cYvfq8UF5ShwksaRiuVKLFxK7ifUnXBmSBr7h +0R8CVQPyfOBQStMCUgJmLDdwHxXmg5mghtnzql/wl6iOjlEOYv3OgOIdXNHY +19g2vJy2FUiJlq/c/ovC3UnGazFzhF1ytL2TPgK/2B/ZmjNI2GO6wRE7fHFA +bAVdWith97x6N5IpjVf+uAzHVBL2u6PPb5yloMSqacHbsBUokbpLP3ueoJSF +1kxKBmF/5/vmEPYKabWp8YfG/z9/nbxg5UdEe3019+bbreBwtUH0C/6MzASF +pK0eb4Wep46sAhPxqPZqTLWgP7FenWJQXPsNJY0/nxRwJ9aHn14Z+JyCOBXn +K3gubwUemsqfawNpKGG/+szo2a1g6XELhdb/QBVcw/UFFoR9zyyOd+In0mPe +VKo1JPK3+VXWrmah6dbFkkJ1wr/iI7x76BdSuGIn830bwffLFuv11VwU2Rhf +6imxFYDbMddpIh+dvWTdQeEl8BuEu9kaMIr/eSclgJHItzXV69EcoB3ddyqd +lnkh4m1m1IXRQqRZMhBnPM4LCVeYU2fmqSiq9tesfRcvOA8xKb1ZK0J7ft0I +sq3jBVXDEdXnM8XoxLnIlqMlvGAzwLfXcLUEpXS8d5P9xQueTrK/9edKUYQw +f/XsN16Y2ellu7BZhkq8Zl5tfiDiv/iZljJXIPXs799GnxHxKy6/h9YrkEp6 ++72aQF4YefHxQD7LH2QXPPbq5V0CnyfzRD+pEg3428tFXeWFVM17hQoLlWha +28g10oGIjw8jS25WIfVqVtqtk0T8wn1Zp4VqRC+pa3HYhBdulHSwp5NqkOyL +Zu7/tHnB3On4XhRQg3wGT4ha7eQFeQ8tpfnNGmT/T6/PWIYXyNtLBBkDapF3 +B8f8VgGCrwX1735SHRof13OXZOOFqEOvsum86lBvVs9PhXUeUBnm+SC7WYe2 +2jsijhkeKJ5fM3e5W4/KqaeCJvp4ICA2bHkLrR7l0G0XSmriAZ3SR1fbvBsQ +6dJF4a+/ecAm/+LWvYsN6E/IluZP+TyQYN3/Y8SrEdUFvu72TOOBmf/qJEsW +G5Edeh57+AsPOHI7Ba3fa0J6Uv/az7wi8j2vlaCSmtHptr1nzcJ4gCf4u0+K +dzNaOzb4eq8Pz/9/L+5YIrWgli8eMhs3eYBVQY7B+X4LYpO8IcLgROSjn7J1 +WmpB+ERzNaMtged/Lhl7t6I0R+nqAXMecLut91t/qRWR1VpCAfEAmaW4X/x+ +G/qnsJjVqsYD+jdldgST2tHK/MLOWkUeOHt4Bol4t6NAiuOFYhEeiCq/YMFM +6kDmwxm9L7l4oOOnKVnsXge65OZS84WOByh3mXYXLHQgnnsyK3aj3GDe46Se +d7cTVZTsGlSt5QbhLC2mvvlOFB4iZr6ayQ2su9Nqznt1oXK6PwcpH7hhXvTN +RY6lLvSt7KCsXxAR335a2fBmN4pijva868oNNXowXr/QjTbW8s7vPMkN8g8P +55Zq9iDXK1llbVrc4GXMRqvx7kEPFHy46KW5gTSefjomvwdtfcU6PMjCDZRD +Am9Z1nrQN78b+wsnt8CHlQrbN7q9KFf2nZxt4xbI/k9jA7x70W2eFxMu+VuA +/mdE9vfsXqTucVnV/fMW6LPVmatY7UU3XtCz6oZtgYLMaJ67mn0oQ/nu6bUb +W0DzonWylXcfiubKC99rvQV6Nlf+W8nrQ4zx1JPKaAv4W1qtqa72oVu/4iKF +FLYA/KPni9TpR9b+R10LObfA+tHL3E+8+pFJcX3e+TkuqFyTCf2Z34+6jytM ++bRwQfXzUvHPtH409Ur7hzUQ/n65F/K6A4jl1I5/SnFc4BxY/7DedwARarI0 +I5wLVrv2OwoWDKDaMCfvvDtcQJWLOCW9NoD4S0sTc89wgWSOepm17iD6mzl2 +1cOIC7xYI8XlvAfRC+mJb8rbuMA1wWRGrGAQ0TX/+m3DzQURewbb22mDaNDo +8H2jJU5Id9+ftEt3CDVsy0lU6OSEKxwDq6m+Q0i7dMKluJgTpG0O7CzNH0Km +KeNcXUmcwBrx7G7M6hBy4C1Xnn7GCSEiakZJOsNoZptze54XJzCeCZ095DWM +QnMHmf0dOIF/aq+kQP4wesugVMpgyglkrahdbmvDyCpqrxNJlcC7PmzzRnME +icRJ+c0LcILxUghpymsEnbxSvSt2gwOENfofNWaPoNfxp22P9HNAaPmTV2Nr +Iyh4948LNyo4QPkAOadUdxRN3PxLZ5nGAaV37xaqe4+iM9srRJVeccAqTdyk +IX8UGb4NKsvxIdbr3U1jWh9FtdY8VhVOHBBxqXDPpuYYuhLuce6vOQeE9J3Z +K+89hrzuxqw83csB/gIpIl3ZY+hlVyKPuSgH8L85eb9kbQzZRPiy+pE4oIFt +aPm27jh6tiz04tYIOzzbrWqb6TWOmlrD35ypZoexxEoNxXzCf7NsL10mO+h0 +yKxtWxtHNv5dhz68ZQdF+wqdM3oTqLS7EGX6sYNx1dnIE5QJlPTPuSL0Mjuc +/pfQ0J0/gd5RJqtOWbADp4vJ09ekScRQvM+pW50dst98UBvWm0RbT5p190my +w5ufveNr3pNI5ZxOfScjO/DIesjpFUyifPG50y8n2CDgYAniX59ENlaB54zr +2CAy72KbEJpC79oG9K9ls0G5xNyjPsoUshZkzT4ZzQbsGhryBgVTSPwPa6Ja +EBt0fKrWqiJNIxv1ql1VV9nge7Hk3km9aaQ7dS6yz4oNEupOH2zwnkYqUpUe +0/vZQF1ttGkkfxo9Y96cyJZjg6ZDquZP16YR/SWuzrtsbLBprg5RhdNIjH80 ++ec0KyQup2as+U6jbx4hW783s4LOxK3i34bT6MBJprn3+axg/rjPypXAtx4+ +cNEslhUcPF/Te1Gn0O1/F05MhbJCG8+m6mnfKaRhflSW6yYrSBe4m9zWJfxn +1gNGbFjh2g/1u8u0SfSrP/h8rh4riFt/UbHMn0Tuss31Zoqs0GBou8bnO4na +k+cUrLawwkkj2XQew0l0OH187tQ8CxjPRY110yaQ2tWEEwIdLKA6ekpPjDqB +5D4Z7vpTyAIhj6l823wnUJ7M1/G1eBZINDWsva47gaps6vePPmGBJjO2RFnS +BBphbWeuvsMCV9buMyYQ98PretzF6+dYYPWfltdr33H0U1YvNuwAC9jRsbcU +GY6j1aM/7D9uZwFyfnAW0RRR5u6J5Ot8LLAI5unbisbQvXB6D40VZlC5jXde +pIyhnI8d9bk9zOB49W4pp+EYit70580tZwbXeyYHJxnHUEQT8++078zQoVVk +Ek0dRcuORwUvRjLDURTkiANG0RXX253c95hB+d8ifZrBKAoAx8B955mhIdf7 +YTttBAn8ESkUMmMGSqXJ9lvUEZR2NMljdhczRIYVHm33HUHFIfTUYCFm+P7M +PzzVYAT5citMRtGY4MV364wCxhFkzK3w/NMgE/R5tp39SB1GfiUjlU5VTLA3 +7Iv0RMAwKp9/6Cf3gwmmDos7cRgOo6wbS4JnXjOBu0zC8wukYST5maxv4ccE +9IQyUCoaQk+OagxoX2KCRfb1uALKEPJIZRPvP8oEE5LFjwoNh9DlsF/V/nuZ +wKxXaz6S6H+MP/adfy/KBF7ZRUax+YNI8Omdh270TJDY/OK7FGUQqY082240 +wgiGsXxvXYn+Wrpw+7/qv4zQldMao0AbQPSWMp4NWYxgNvjjmRB1AJnKJbHV +vWcE8YL9jB1E/2ZKXt35KJARZrrYn3IaDqCqFMW/ui6MoPo9+CM30f91aZKW +V44xgs4z4cjDxHyQZ2p3PKFF5CuYif/n248EAtzm90gxAjXKff813X7k86tW +qJaZWJ9rNHaS1oee7F8TG51igKA5eT83ah9KVKd/u9zAAOotP147UfqQ7khl +cnEeA0wwhVYVG/ahtq139ofEMAB7H9eDhf9////Xc5Y1lAHIzK0nnhX2okQZ +EXuGWwzAj7I5nQN60c3ynR0L1gzgqnjAhMewF6UosE5+QQxQaiXyXp6xFy2t +FXgeViDw97x14yjsQcOShoOuHAwgqLh773bfHmSxHDJo9o8eEh22cGcZ9KBC +s2QH6VZ6MH+u1qy82Y0ST7y8ng70YLzQVIr9upHO10P2OIEeeiifg0pZulGL +R3tF0WNi/eU/h2496ELj66rlAe70kNfrZ7TI0oVY1y4Y6J6lh/XM5/pS/p2o ++sCFtzcM6Qk9U8WVs9mBOEwUHzoo0wMYR/LuCOhAxwtLZ0146MFNNUf4AK0d +8c4pT40v0YFqkuupfQ/akef1M3/Ye+gg5JiJ4yu2djR68bKcTCkdpJ64f+dD +YBuq3bqfez6ZDjoGDfLvs7ehYs2xOwXPCbvhP1b6wFa0U+DcjQPedNBzgifU +jrUV6eu9O2xygQ4o60PtKo9b0Nme7E/6h+hgnk5kdzRbC5rRfB22qEoHrq9a +88bDm9FjduuZz4J0kPFw4XAHSzNqIPfuq1wnQVSs1jfi/R8929jJnTZAIvhx +Gtxla0KnD5++EP6bBMantdtsAhrRa4tDhxXTScD4b/ErD2sj8otm5Nd+QwLn +n+2HtB83oNtX3lsfoJDgXYPYJCt7A+oYW9nJ5UyCmgdjpSmP65HFwB6/yiMk +aHjC/2WNtR65KGtnMO8lwb0Sp2O/AuvQpiKj94YYCchhJvssOOrQ23ff8sbp +SBCd/EA8NKgW2T/dIb+jnoalHY5qv2evRe/aC4pvfqDhG9fdzlgR+l4Zjygm +XaFhhwSquS+h/+O536Taa9NwQp/eKD3HX6R5nFmVnpmGVXemoGOkapRNt9ok +0biJy1/rMFiyVKEQsyC0K3YTR9mIXlLjq0RXA6ve77q5iVlrv9fasf9B+jve +yX3V38SUyw5f9/P9RuQyhY+8nJs4Orc8+DxLBXJYNem43rKBlx38mK+SylHw +m1l9k4QNbKNoPafEUYaoKqfecrltYIfY/gEVulI0sd1Q4ojRBr5hHG7cx1qC +LhWXOvjzbeBs9WBjb4FitHiU+U1c9zrBX+OwHVcRcjtYtWqUso6jvzPhWUEq +Yla1OFbjtY4194Zq/eUoRMFBNn8bD63jltbtMue2ArJ1X91SK7KOb8TuP/5+ +fwFCNtbGuYNr+AZ7+HX+bXmIP37/J8mfazgiRfjShFYO2hhu+3eDsoZnynhd +bWSykQZ1K+sv8zUMQd/SwrZmInu2OiMv8TUcHehbK6WUgd4Zmt9RHlnFNUuw +abI1HZWNHKk+kbWKeRJ1mGulU5EReWTkVvAqpjClvzO8lYTqbXVXKCdWscP7 +EhMOywR0fFlkN6sc4f95JP6l2xc0GJJm5zO1gqUzUmVMD0ajivTeI2M5K5hC ++6q91ToKvTv02vFv6AqGyPY3QikR6EEdx83Ppwj/YuB/bVQKsi1nip1RWMEk +Vte5ErIxMkrNqVaZXcaUrNfXDbf6Yp/drDl2+ctYOqbT0YwhAidIdVayhS9j +UtN4MSXjFR6iuTZ+tlnGNyRjPH4wRWO+IwFMWUrLOLXWtsVx7DOO2LN3CS8t +YZJmTVZwbjyW5fzYlVW0hGuyXO75d3/DMceeTpKfLxF4zx7l5qVgjYd6cyF2 +S9jUeVrfaTENi58OyO5SXsIO1fNe8YwZeObVsbjk1UXsPI/bgqd/4pay1ocu +pYuYHC+xo4EhG6c4jr6IebmIW0QMYvH8L9z85ul/fy8uYtahoo7+9lzMVzQv +N757ES/rtTK7TeRjPZtuLgrdIq6xzjj1uRXj+90BkwxVCzh7M8JcahkwF6no +8f3XC9jYx+PIw81CHBYeYn3j8gKu7NTvNfxHxZJcbILH9y3g1AcnrqWvF+Ho +FZ71JMYFHMJ26PHN+WKs3lLfvPh3HkNjcPwQqRRLWO66o/5uHmcfdZf2ZC3D +c3u3ak+7zOOkeBMV280y3MGROh+pMY/XD57fPcNagTMsGpuymOexcal9RRPd +b9yp8tyruukfNp/jLGxd+I1F1zn4G2L+4WxHhvRnm3/wQU3OxuO3/mH+rI59 +bxcqcZBTWRjo/sMNFrR0W7pqLLRTjFGe/R8++bL2yV7Wv/jV+cXPpLY5XJ4T +RLPb+Iu3P3ykVRk7h48+N8z4+KAGfxdPXOS5M4f3au1Jz6LVYL9DDncOH5jD +936udZ+7W4svO9eOuvHO4bkGZ3b7jVqM5isChXpnsaOjyWawZx1WvnVf6GvS +LGZ89XuKY7MOM57LrvnhPYs/aOGELK96vLM37HDG4Vn8Lj3s2ex8Pb5qLZr3 +WWgWl1evewbdbcBvtFVPcg7P4JnT3WSjhQbcwU3XdyF9Bh8MdWo87d2Ir/lc +2Z3mM4M3n5SkkWiNeNr2TILH0RmczhRpXX23CQcosQjsEJ3BLyQ5Vhc3mzBP +sdvxrLppfCt+9qKJdzP+5cK5Sbs1jdOZU+kUF5px2JLIS0WOaSzNxvfG/m4L +1j6n7o0Sp3Co9/AazLfgrfHl5uoGU1j2qcPp+16tOEzu0Sfhtkksfj9tpGyj +FffdtTsweXcS87fe1tf2bMPnM+IaPvJOYs2WthcsG20Yl2+kG6dOYBuTH+nr +nu34QOHve2UHJ3CcrWqVOa0du06UV+zrHsenDAOFtj/owDdmGy9G+oxj+reH +t+/e7MDv22wmGwTGcUdk5v5nDzpxwvuxIqaMMZxO/1xAjNSFTz5PlpA9OYbH +jE2k1Ly68LarQ+fFJ0axpXK0wpfNLtwuvXOJNWwUp/peWdD16saZ2ePu9TKj +WDpvwkGE1IPVHrTdfZgzgt/I8XS3GfTgMKWWP7tPj2BeowkDVd8ezJV6mC17 +dpjoN6JyPwp7sOvW6peqEcNYpZNutILWg6/G+8W+UB3GzrMNpfE6vThS+lt0 +U/kQ1rFelf3h04vf3+4fY3cewjx3Wi4cz+vFVYlPpSVog9hzgFVOitaL23KP +xsq8H8TdHHOlvrp9OISmk8yrO4g9JMv84736sL3Sp+juhgEc5z+Vt57Xh/m2 +8fd/vD2AGz5Utw+u9uGZLRs8euwDOIqT7u66bj++piRELYvpx+EXpXa2+fbj +3yL6VCPDfuznvlhG6DtsMdOV/L61D7O3SvWM0fpxWvSjkVGPPvz8H12nhN4A +znjgEicj3IfNV/7OCnkP4B4zsNBI7cXvLGcC/v/9f3RczhRZ9OIx+4r11dUB +LHZzY7vCUA+ugfm5foL3FJn1+aJPDx7m5KR74TuIP+bIHMqR7MGrPB3/mvMG +8YzD+cYs124c57TpeIiowznSx8zur13Y0q0156juEBZzLrtW1NSJn9YzP/Gj +DGHxfcd3+LB0YgZJaW9v6hBu+bn6UOJgB74lPXeYjTSMBzcf53u6tWMvN8G3 +xYbDOHlN/0l2ahv2ofyr5vMbxlnnrC79nWnF6R55osoFw5gvxEowRroVU6B5 +4CppBCs73b5ga9eCzQq/PT+gN4Kfcd4Prgxrxt/46sDMbwTrCo+mCeY3YXRs +gEmoaATHNN/yOrDeiKsPpuzyII1iW/sREdXtjXgxvLKZ0WgU/3njbU271IDF +w2McFf1Gse/+m29jE+rxbQ9HNu6CURz45XgmS2sd/u+Hp4oCaYx4Ho5dPypU +h4/EH9j7V3cMW8fp0CwP1OKaCcecOMoY/jbz8KWyRw0OkLhhc4A6ht06R5/X +Xv2L9zLvbfegjeF9V14lllRWYR99s45mg3F81Y6y4W9SiYvVRKh//v/9tl9/ +M+vTbxzjrq04SR3H8WqlHG8ZKvCPWJOYRsYJfH80yMrErwzjR1wMxw0n8KKq +1BGXrhL8X4lC31rABO7vnqPYWBdjhszFWK2iCXzKNqzwXzMVtzz94adHmsQu +F9ZSmDULMcLU9huGk3jy65GfJZcwjvsSKqpBmcRm3084XZXMw1/avzQrF03i +VJN+1ZsD2bhhIzFpkHEK06eO3mbp+4kZWi477TWcwm+0Pm4N/5CO/zB4f0gN +mMLa8q9OmCmk4CfDxrlAncInaPQ/1VS+4geZq9OvaFN4RbyqaiU1BrM1039+ +ZzCNx1LZUv4ceo3DUoq09/lO4wVbl/PU0yG4tLXJcq1wGt8zESu6gnnQjN65 +jETaNC6V3G7QLRuCqt1jPz2kTmMnn2+Xzgu+RpvWb3n2U6Zxl/DtuMAPMYjf +r+WNjeE03tiV/t/anq8oyqYozpTAZ5PoGs1OTEFTxtQ3N/OnsLT6YyC3piOT +u6yJzJQp7Kbjk8okmYlYz65rX9Odwp23l5Qst/9C5UEawwa0SawjOByoR+gs +69Ig76PUSWz1SilE1xmjoxkPnu4g6kf9sszaqFGIjo6VUT2J+qpPMkXzdVDR +9+pfdyJpE1jR5ajyF89i1FeGeSbzJ3C03xlPl5USJMDGVZZCmcAeLE+Fc9+U +oYxlFpqx3gRuVgi7ZyBdgU6pmh0/SJrAL34kq1mW/kb2gtpKovnjuNqdoyPV +sRJp8OoNKHqP42d1Ow6ZDlchnpMP8z7+//9L3nuyOof+RScO+AuOr47hFFLx +ge6QGvTKhxoWkzeGDY+MUn6Y1qKkSsHRZ75jOKBWdvCPcB3aViFcbkXcb44Y +vW+0tjqUwHWeGkAbxfqJ1954/K9i647H+vvij7333nuWSEoK9yAlSSq+FElI +RRkNZW+VhGQllVCpKMle14jsvffeO3v+nt+f79f73PdZz/2cc/94UltQCOGK +emDBFD5yXtWP270VnaG2Tih2ncJ2qrN1raptaN84S+SW2hS+ZJWm0cbQjoT/ +yxId3JrEnu3/cS01tiPtuF318oJJfGs0dvxoWAc6vm6iNeI1ifdl/vUXXe9E +rwmWVS1qk7g3X07FSqQLLV1Mt1Ug3v9bAe4F5/51oZyLaS+vF07g429TP17I +7ka+b1ZrtjwncMU5d2/3xz2IZ01/uV5jApMpXX82d6YX8ZL8F/tofxwH3BZf +dqHtQ/yGSdeelYzjzNZdf92+PvTMKD7hnuc4Tux5yHIqpR+V2aU1vFAdx+2H +6vdN7g0gQjUVNyfx+9fT+47uitAg+txOP3yf+H20r9TWYfMZRPoHrvw96TmG +LXwKSIYHB9GfiNwINY0xLPNfYUOzzhBKSvk7wrI/ijku/tRv+jqEntIL6F8q +GcWdtw4ML7EOo2Ze4RM3PUfx1jnnZUnXYTSrpsz4S3UUq/+X/vtO9zCi/hq5 +e5v4/b/i/uR5veYICi79aP+vYARHV8ozaySNIHbx1a0pzxGsNJzJVkk2imxj +zRx4iHMkmavigJPdKJLGTjf5CCMYPfi7IlU7iqYP1Ds/Lx3GqdOXmglHxxDr +mU6aaq9hrPaswWIiagzBN5kcZ41hfPAf793htTGkKhqqb0Y+jJddFl/sm4+j +DzoJNuylQ7hHMttMtngcUWTvvDnuP4TfLlBpPpaZQK0t5BfkNYZwXoBUUmfY +BHKiEJk1J87fHY3j2WeWJhCLl3/SFnE+c/C29HdemUR8XyL7bxPntwH39ezH ++ZNIkmv+iBZxvk+P5NIf4JtChLirTv+RD2KzmvfOBL8p5P9+yuKJ/wBe7Hz4 +amRkCjFGpnDw0wxgU9K24km9aWQ7WV1n7dePJTbJ7pFmTCPrmyz/CRH3D1ZO +8WoZ9hlkeX+cPT2gD7fr15984D6DFHs5O6YIfbgw9Y55dd8Momxva9j078Vu +0qGRWmdm0beDizU/9npwjKPf2aYfs2g+RTGmzbUHd/Tf/nGXaQ4pFZK9bd3v +xnO3O6Vkn8whA1mFj09cu7Ekj8ql1a45ZC8107dC3L+Kom5vtqrMI2n9+ulh +vy4spyCa2f5xHmU10W8/2u/EeKFWZJx0AemMdWkM+XVi6RqSRK67C+ibEWnw +0m4HXnxA8fFaywJKWbj0+YFrB74nsvulk2cRpfMortftt+P6qILnbPqL6Fn8 +3XN1ru0YPqxotXkuIuvso+1Je234BmOE6scfi2hwXfLwvD9xP33xBHkOLyLZ +yAehvYQ2HPy2jXeYdQm5l+qLJwa04qjcxwTjM0soTi+ggJHQin/ZhhdderKE +Sm10X1zya8Huvuz5JslLKPCbsiw1TQtWTO4pcehdQvznvGst/ZvxlgXfRwaG +ZZThZvwpkroZp0rFBxVoLCNhmiCfe75NmNkoOP3Ro2UkXTwql71HnJscS+mZ +n5aRElU9cxdxvx8diS2k7F5G4v9JzCPi/m+kXRjfT/sPzRbWSHmSN+BHDGdK +i0/8Q4tPSknimeuxzwjH/G/7fyhizmGYjroOv9e7tiz34R865XtCQZqlFn8p +myLkthD5xnz/EIoafP1j33l9qhUkfv+lS+deFZZm1X1gq7yCCo649zTTVOG+ +sO2EaLsVtPFD5BQXoRInJkh8Pxe3glR1R3noie8jo9KIQuamFST84dqa7nY5 +ztKxYxwkX0Wnzkg9uPfvD5axzFM+dnQV3WVivAa7Zfjpc327d3dWkeqr7+ev +LZfidS3Txy9iVxHzEZvvspslmPNmQ4lf9SoSl3b62kNegkUinnG+3FtFaak3 +zVLXMVb7L09LQH4NSZ8TA3KaInxK8rBbkdUaWjTVP7ywmI+3zZiW7kQTeTZe +q4/DubhM0gbF/V1DT2iFHTLWs/HjPZKYge01RP3o9TPTkUyseoez6uvBdZQm +pOFutfwbp50IP+5pto4aHUPTFOnSMTPv5XSrsHXkaBs1emD1J/YJDiZvLF5H +Fg98+TN4U3A/Pd2N86tEe8uzDtZzX/D0v8XtVukNBB8uaiW2JGJq+wsOIyYb +qNG0viaREI9Z1pZnSV9uoOLdc/0xmdH4IAuT1tdCov2zSr6e+VCseMIx0WJ5 +AxGuV9We1ffEk1XHNUUlNxHB4sOHhxLa6OesS67tf5vIOzYwuTbNG1kKEE7+ +CSLi5pY6g9QwJDm0F5GUT8TGbv3ZD2PQG7qbcqEzmwhEDNa8aePRhhZzY5DQ +FoK0p8MecknoNpPSOQaDLSSMdXlzaZLRn8m4xnj/LWQxoahcezwFNUjfi1DP +IeKhu3ekZdPQzHamgtXkFlpkpOx7zp6O/vVqdcTwbyODqsNaxtIZiP6i7n9G +57dRmJZYQxl3Fmo7kMPG77GNLLge8t7Zz0bP2e16/qVto8HHxiauTHnoQliU +26Uh4vkYQoHsTj4i8FJJlnLsIG9tVdYzrEWo7Nhics7pHWTQJXjGh7QYHc0D +21znHRSv2mC9vVaMXp9rP1rzjciPV7odIJQiUsfRLqueHbTh8Eni+3opupag +/IyBeRcRoKZykOwPunVy+WGJ1i6qLIivUaQrR763JE7sPNhF3jxXbrQSKtDz +j+9odJJ3UXzB1cUDdH/RR/uQX7xduygNIdYWskp0J2E8ep16Dz1zaHkdtV6J ++Ef9no2p7qHisTRGZkI1Gsx5c/HqvT00edKJv2utGoW9XREf/LiHHDtdxUxI +a9HCaNYxx7Y9lCOiFPSPug5ZlCwJP6XYRxu6jX79u3Wo4LsTx0+VfRSTl+ns +RdWAZGVsh6/Z7iMFWs8dh/0G5JfxJVfgwz5ibvyrRhfYiII/6zVMNO8jRyfp +1FmaJtTCkWkeR0KAP75bY6v+Tei/6+NX6/gIoKfsxHeVthndWL5cpqFEgFrT +K7zjgc3oz/FV3ZN6BEj7j+csgaQFCVDmGqvZEKB4xePho4AWtM+f2+jrSYBG +UsM7rCStqD2vp74xhgDUGfVXaZ+2Igp6xdnEdAIknxRxD6NtQ4Wf/zzKqybA +qSNjNuoBbciu0sW/a5QA9PXn9c/QtqOPR+z2T+4S9Tql9h8HtiP5S2FvxDhI +YCW3a+AYoQP9Xu/IYZMnAT11hUID/w6Ex01PnTlDAjGKG1bh+x2oxIzUyO8G +CbBXW1PJB3QiPf6xJlJXEghr0nz4j6QLyYdu36MKJ4G7PzeYT7t3IarnSqHs +KSTwROHyt3+EbnS79iv/zT8k4C4ixFDl3o1wlIZ8UT8JpGWG3t0k7UH27Aw3 +4jZIQEe4czs7sAd96mHfL2Qmhd6tV4bvSXpRswwSnZQlhbgni18HAnvRs5JP +v4y0SMHEprhSh6wPRdKDhY4pKTyUvc0FHn0opn/ntdYjUih+lWEZTtKPxLdW +j/i+JAX6O/cf03v0o89xLFeqvpCC/0jrsyHSAeS1bPEusoSop8RUfSpwAA29 +mj3/qYsUYlyvTd8iDCKVnFi/wn9EnEIx3605iHikAyR46MkgKsqmfch7EF08 +lzi+KUYGFXa/238WDiKv1ZGDI2pkEBJ+yej7/iA6NmUxwPQfGRg6fcs5oTaE +hq0IXNqOZJD20TB23WsIcUn35hU+IwPaoGwLnSLi3vZhxiM/nqh/jRJZbA8h +yfcCFSV5ZJDl++XtJ7VhdHj8hStdCxm0Xyfp83QbRoqZ/BnX5oj+QlLjAoqG +0QvlIfqjVOTgnKz98DJhBN0lG266IEQOZq5RCplqI0jrPwr+Byrk0P1Oxe6U +9whKXLZu7rpIDoYukUG3ikbQVc2NL+V3yIEAfSUXt0cQFc8P0jw/ctA0efz4 +jtooUlOJr1p6Sw7+0tUsHG6j6M5gBodiFlFf10H2////nz2x673eQA42xgTT +kP1RtNHvY0KYJIe7/LqOpapjaItGJpOFlAJoj4yTiXuNoQBPek8bXgpIJjnp +zlM4hihcWWvLlCigW2T4viphHPVJ66l81qcAdblHPpKa40hdpJS11oYCEhaL +HyZ5jaOI+KtOW94UEEtxffxC6Th6cEde9e4bCghrzlQNJUygb2PKkla/KCAv +aONbpNoE6pi0jLSsoQDXiJfRVV4TKPhoteOnEQo49l/P7VeFE0iZxrBpcZcC +gu645QQSJtGJMJaLJVyUwHybSlhLcxKVW9CptclTQrig3t3XXpPodI7Uj6Wz +lJAiOXKMpXQSGVzxfnHRkhL+jCuOixKmUHoq/bNjrpTAGG6fuq06hWie59OI +R1DCfMjOMonXFJpK/bxumEIJtVpaAnEFUwhfybANqaAETd3ecqv9KSR4Ye8s +3QAlZFEfuozVplHrupsOwzolXE232xhynUbeUvy1XCxUEJW6zadYOI0y4zfy +b8pQQaPFKXHO7Wk0EL+uUKBFBfE3B75Jqc+gG4ay5dHXiNjI5izBewbdvfim +POsREe9+qr1bOIPutcme6w+lguPryvWUhFm0lrChejaZCmqTfTOU1GdRh9jW +eZViKojQzk2XcZtFPw4Ijh7upoL5I5ryeoWziMHDc/zeMhXodvuFkW7PIksj +Evt0emo43szlOK42h5iGstndJanhamb0rVdec0g765vUc3VqcDVo1GosmEOO +rMX4vQk1HFMzfa+6P4fEc1j+rDtSw+TQ+z5VtXlUPxpw/soLIt+p9NbWex41 +/pFbQ5+o4UduluP10nl0dZ6FzraQGmQvL8lO7s+j7vfcn+I6qEFH3dPqveYC +Gokx/c66SPQ/VOY96b2AtE43NzJQ00CKw2rmZuECeuNq58YiSgO10YFRf/cX +0JMNCDc5QQPJ3Lp7KyUL6Pzu8UPJhjRgIp8/seK5gFwyrafc7Wkg/Srkyqkt +oEPZtcyRgTTAXU//uZnovwsZF2bG08DoQiC7buE8omcVbOfJpYG0Kzrv5bzm +UXQ0NyNZEw1c6hRg1tWcR9xJR2tWpmnAkOIjmQphHoluhazIkNES768da0Tp +HBLpoE28JUAL9e9GEkqI9fu6W1o4eZQWNGkS9U015tDzjh/r8+dpIfC587Ac ++Ry6frwwa/cWLSjkrK83l8yiwmGq4QvetNB7qFh61G8WsbA/Cv8WSwvLl4/1 +/AUiv8FhGZRBC+0vix+N7s2gJcl/71JraaGIKfzAvZIZxNe7bN41TgvMwGJV +6TmD6rsPBevs04J1TkdmKMygruD3napcdOCvnb4YSkbE5QrfVA7TAbPPiVTL +kmlkqbM/4XKWDmLIaQM++k2joYuL30qt6CDk2NP+dJhG2YwcL8M86OCSB7fw +7t4U4uRw7vkYSQe89Evuv0umkF3C5o/8n3Sgc/axqSrx/px1yZ3nrqQD9/pT +Roc1ppAnWer57QE6cL0tqXydfAp9ZS87PL5JB+NpmbHXiffzmgOHLzsrPRho +BPPU+k8iqotBxucO0oO4NzMvHfF+q7iffFN+ih62KHuPZpFNorKrwlt/zOgh +PiFfJbZiAmkVSw3WOtPDt1kdbOA/gc452Clyh9JDgaeAxYPTE+jspyaau1/p +4Tj+4HubbAJ9CLEjaJfRgyf7sZdRJePIs0TD6kYPPVT+Yvut4D+OLrmdOBe4 +Sg/uu++vvtMYRz89HT7MMzCAwisnAWeycWR9tJO1V4IBMlRMKp9WjCHGB1Z0 +zYgB4sNmV+z8x5DGlqwjnQkDhMtXqhacHkO21BxXz99ngGn3i5QDZGNopkrR +ij2YAZgH5rNPlI6ildbgTsEkBjj+vMWfNGAU/bOhaTlcxAC1Qzdb4jRHkZtm +qcqzdgaw8EVqieSjaDPpncTEIgOYNVVIu5eMoPq8FIUaWkaQPmq589JrBB3k +3fiyLMoIa4OnZug0R5A3wSZJVI0RZE+2mZrtDyMzBWaGKCNGsOlLmeAuGUZh +bPMRIfcY4W5EjCmj/zDK85j/GPqUEXo3D19s1xhGdw8f4Gv9wAgGX1gtacmH +EfvdIB6RPEZQIPu4wlwyhNivCij/a2GEzgdaDgbE+fnu1Wwx9SwjBB6Uo9jR +GEJ8Bv0NkpRM8P71H35X4vwVNaE/90yQCTI0Ey9YlwwiHzb7MftjTBBHGWX7 +1H8QtRstk5sbMMHkk2NUHsT5/nsoPSzsNhOoHxHE/eSDKHAl8mONLxOsKN9a +kvAfQMMGmYK6b5ng7hvhtzZUAyjSgjr//G8m8Bcn5eB52Y/U1z16r9QReXoU +7kjdjxw75G2/jjGBiT/t6fjgPqQn5FtEIDAD95XDuw8p+1DwwUDjBXpmcDT2 +9s/37UWvzJM/73MzQ+XYNc1uql7E3Gp6nF2SGQhNUWTvfXuQob2ct64iMyjo +mW+rUPagU8MxxlrqzLCxeL7sTHA3+vEm0gnOMUP8ckzIFlU36nuUpnHdmBlO ++d8PCwjuQk8jzQx9rZmh4P6XyEzKLtQXkrB2y4kZaoPI7Yz9OtF6CuUFBw9m +mFVcdG6i7kTBjDtTrkHM0KisHLjo14HGIphOJkcxw59a+toJqg6Ua55R35XI +DP5Fahz+Pu1IhfTfUl0aM4x2RR0K321DHtUXakYKmEEvwNPziW8bUm1Xm9it +Itq/LGki221FmFI3V6WdGYolXpve8m5F88yLvMeHmSFuIjhTlbIVxZhL4RML +zKBDOcya7dOCqJrcea5vM8NKRvlnGsoWtBholfWUmgXci8nVSbybUQDn6PB9 +DhaIWbX7ZLvThAaqfcu9RFggJ++GVopPE/pdmj4ddogFMnrTR8N3GpHeAKGi +7AQLJIeEF1z0bkSv2iYVck+zgKptrLUvZSO6yGTZn36ZBUB7WyiNvAG13dvU +qL/OAtzt/SN0jPWolUl0cu4uC4QJu/z4RVqHvF6MCjS6EO2LrHhO7tSg+QPl +NK0BLEBwt1t6SFWDOpmUj/eGs4DFP5nGjd0qFMj9l5/yAws0BlHwy1FWoZ/W +k1pKKSww2RNt/33zL/IPStwSz2UBBW/XGwzLFUigzf2WcjkLPDvlWiK0U44O +W01w6jcTcamCtPvSH0TL6ege2M8C3hbkO882ylBIQriTzzQLFF+UdnQhL0Mv +75/+6r1OzHeHdOb3dgm6eIs7+iMZKzz7u9X8nrwEhX5w+1vBzAoQc8nvyxJG +Lr+ePP0hwAqNZww+do4UIunJ17vZMqzgqPzsje1mPrpkCVnlx4j2sXIfwsZy +EZDQSaxqsoKCGoMX12o26nZxEJvQZ4X4wJcfbjJmoYWjN637TIn2Wm51Z/Z+ +o0pOF9PVW6zEeZLYFsSSjvhPCkayPGIFYQun9rK1n0jJf8j/gg8reBczZx1h +SUEbn9XJDV6yQvG9JU5FlIyUGeQqDGNZwYIkNdyENwmdiFFRd/tMxBx9paAa +j3JsOjY+/SbaCzXyJXDGoGFGJq3XxaxAuNZ0G2eHosYea62EWqK+W6udxjVv +pDJ7LjCzi8gTjjjQSIniWyIXvabHiLzURvXYZy8MCotUw0tEPclj8UzMoTjC +V7ilb48VBi+UrlPURuPUTXvDbVo2sAj2zvL2/YA1MvS5BbjZgOD554PRQiL2 +1qm0I5Fgg7DbVFa9NMnYn8TiEdVhNlBoaXP62Pcdk+09K2FRZ4Pk76OKQqRp ++LRQY5maLhvEyyU10y//wuT+ydT2xmxgoLpmbUOXge1LefssrNngWdKXrA3e +LBwn8f3WI0fi+VrBQ7JUOVi7tlYpxIPI+0jH/OXPw+nR9DmVz9kA1talyJkK +cI3qeHV5JDGeP5KPXdYL8XWJi8J/E9iglumhWSp5Mc5W7D4w/YNo/045bG67 +GAf5rbiwFLABu9OpwhGqUkzzI9l4u5IN0pYIumuMZVhv13WGso0NVC/F3zEh +/4Npw/pLOIfZQHrzma8jYzl+ecZCX2eeDbi9DQ91Ulbggk43W9Utor/fDSpm +WxX4Zqp0lRIVO9TKRahHkVbils//+vTZ2KH16cl2oc1KXDBy4eg9YXY4Ln3w +1nXyanxHu1oz9SA7hCXvB83vVuNS7/6Bn8fZIaXNlzF2uQZH7fvhLG12kD57 +4cX2di0+lHjGaOAiO0TE7cWm/KvDGkFxcvTX2cHs2HoiG6EBE869iNy2Y4cn +RRcCa8gasZ9CzG/6J+yQc5GDPNOzEftcOcYuGsAOss+8PDfJmrDWl2XZK6/Y +IfyQaelN7yb8q16n6dI7djD/znjMYqsJx6oo5Bl8Y4erNTafMz2b8Zmqo1cc +s9hB31RhUHGrGTvE1Z6IKGOH+yHvOem8W7DW2d3vro3ssBU96u5E1op7efVa +fHvZwZ8lLmXfoxUvyB9UC51iB8VDG52TpG347x3Za4Wr7DCaV16/6dmGz3mU +0v8g4YANAbuVmI02fLZ1Zi+BkQMUxTM5X7u3Y3qDI19yeTnAPtaRNHO9Hesy +0Ye3SnFA7+/G6cMeHVjvH+kRFiUOALWEuPbNDryV9tqKGTiA8t05T5qHnVgs +PbOd7TwH7H14Yxa90YmZO1f3j1/hgHnWK+TnH3bhJxp/7SxtOIBRpjD52lYX +DvZXvKX/gAPCODbddzy6sfVsPreZFwfQ3v/MW7bRjbOj2+ntgzkgw2kjY9Sj +B9ffYsr+GMMB/w3lcx/a6sGvWIYXo5M4wFzKOIXiYS9u2NO+F/6LA8KZo1WP +b/TiJubKDz8KOaD4jk5U+IM+rG/Tw95QzQH8Nu9nz2z24ceRz3jSO4j5Ha+9 +/8K9H9+cutyaNcIB8W52pWtr/bjOO60JL3JA0I2fjIVuA3hL9UDA9A4HPMy2 +Fv++PoATVJXdeGg5QcfkOt+W+iBe4+gj0HFxAiU8KRjzHsSM7B9VBcU4oXTg +rObNokH80Wgt76gCJ7A33TvNSjKEN/LvLNircoK1q678ZTSEt7edf9vqcMIo +I5uCqfsQdvHiyLM14oTdFbmfYUVDOF+q2Tn4BiewvCZhu7ozhJ+wCTun23NC +ka/UqgEaxkOdVBRv3Tgh7prKAqPPMGYsY9D99JQTnBfJ6i2KhnHWYlLT7whO +mGgVPjBIGMGiWleZRuM54T+ntbv/1EfwCYevve0pnLC6kV1R5zaC6/qfz9bl +csLB5pr3bYUjWOLBs/Txck6wsYoxddoeweu63PlkLZygcDo76oT6KA45U+Gi +OcAJe4MhWdHuo7hJgLFSa4YTdmwuzefnjuJQ5QmTsxucEK5+O4lhZxSzOPd/ +cCTnAvH6Y+ifyhhm3LCRiWPhggs/jU0oPcZw9uXnmkGCXPDNzfr5YNEYFm+t +YomV5QISguwH/Z0xTB/zVuyHMhcstWkcmVYfxzneL2ZGtLig34o1hcdjHDNr +cWj1XuCC7d56Vea8cTyio9DXacYFaV/6zintjGPXB3fk1m9zgYXsJzSrMoGD +h44U8zgT/e0V/Wpyn8C6MjHDe75cIFn056Rn0QSujeTNpwjlgrijft+Ktidw +lfahduY4Lvi+9zr6oPokfsFr8UUtmQvyvK7dOeQ+if8qiuXbZ3KB+SWX3Gt4 +Ev8U9za3LOUCRWYfEUOSKWx2cjv7cT0XUAdq2PerT2H/SCrDVz1c8Oi8oUqU +zxR2ZFf8XDvBBX21QlNDeApT31t7Wv2PC1yUO9JWdqYwH41eSi2BG1L+Da4p +oWm8XIUDF+m5oftWogiNxzQ+Vf8nkZuXGz7K5VTTFk9jzRQrAzIpbojtz3nR +QjKDyX8K/2Y6wg0hZ+4fVkAzWGPV3kQYuIGf1NMoz2cGa1r0/L6kxw1JDzXN +O/EMXkgaen/GhBvMmpc9C3dmMJecSy3c5IafPqsCjeqzmKz7UJqpEzfsTaZ9 +dHafxbbVj9pdPblh+GNRoi6exR4R/wWbveCGHe2cwjHCHDb0M9q4Hs0NjAm2 +Bezqc/hz5tjnW0ncUHDBQcXJew4X0kfQvUrjBuvMg29vFM3hC19a0osKueH7 +XVU3L5J5HMyXOvWjmht4mY4/sNaaxyEZSe3F7dwQdmf2Qp/3PKZ7JUfZMcIN +bzn+ffMrm8d64a0zjIvEerikTxeSLGCVexw3aHa4oaHymiZWX8AfX0xwU9Pw +QOIdU+557wVcV98RKM3BA49KWVrSihbwQ6MryudFeYA3euyf+d4C/pv58LGS +PA/E7i4mfClYwP0nftxTO8kDmz+17pl5LWBHMpfPujo80MN8KndEdQFXLN6I +dDHkgazcF8Ide/O4MnuUzc6CByweTziQlc5j7dKNVot7PHB3ZBFIifEHLsnq +PXHhAd9oDbl7mvNY03qeIiKQBwhb6O23/Tlctt3wqCmcBzySAsQNS+bwwt1z +j5re80Cd1UDkMf85HCVikt32nQcCm8afzWjMYdJt35/b2Tyg+vRoND35HJ7i +QtMS5TxgOnNYilAyi90YIzNYm3lAz1rzw0GvWdwsQ3NUpJ8H1CRiFcs1ZnHS +M8aNIzM8EB3x4YzC/gw+Qa/odHudB1bOzT6mKpnB7ncWXK6T8YIg9/kwLv8Z +rEKrXn6VmRfSw01ltzRmcF7Dt4rH/Lxw1ujXgA75DM7qTKZ9I8MLOhUZnLYl +09g8H/rdj/ECl17t0T9e07i6dO2WryYvTPEccAzSnMa/GNSVgi/wgniU8kEx +wjQ280jMyjblBcurL8ikS6ewr0Ks28RtXqCsCzbb95zChoWyjV2PeMHdMOEd +k9oUnrbv6p7z4YVVsf3NF/uTeNuKR5oylBfaPtJ0dhdM4iaPxwfgLS9k3DJt +ivScxJedHIpUvxD1NUvSn2tM4gvJG6/VMnjBo6aVw2B/AjOTxwtZFPNCdJx8 +hG/JBNZL7JwLrOMFXv7jEU89J7AS//dzjt28YJtm6F6uOoEHc99edxvnhYLg +HC+H/XFM9VYgK+gfL7hyVZPPFozj5fjC0vx9XjgxLfqp1XMcP/deN/9JxwdV +TaFyaxrj+G1iqdoXbj4ouvVNeXp/DDvNfG8uFueDPG36a7qlY7jUWfJb/2E+ +EGjmI/fwGsMdklhZUJ0P/D7fr+DTGMMxaUuGwrp8kBPa5/iPbAy3emRmSBrz +wX9Sa3HvSkZxq0N0tb4VH6xR/jf6228UX/hEK+bmyAeESKvUOBjFzhlcdLYe +fNChHGBetDeCLSc1v7o+54OfovOyeiUjuPL6/vPQKD5Yzid3SfEcwf+2u4Qr +EvhA6Z3l8BMYwdVO+mfwDz44/br1iCfZCOY/YJhWkE/MR3mF8r+SYaxI86Sh +5y8fqIqbrr7xG8ZlsnKH91v5QBQ73EyDYUyQdBcdG+KDNvuYwv29IUxyeqpq +do4Pgm2oD+eXDGGPrxO/N7b4IIVeUvKs1xAuPExuKEnFD/VbQce0NIbw19d5 +fpxs/FC8SybvTD6EmVVI12iF+YH3p+xXt9JBfJLstqDIQX54khyjPuc/iIeY +rz8/qcIPyCDD6LDmID6n9fDbY21+oAGuZ0Nkg/jGBTFT54v8oPmcXzvy5QBe +fm+l72JOxAs3PehpBrAOW+n6O1t+gDG1WdOX/Zi75tcI8QIA73EncwOqfhxh +dvJ6uj8/hGTUxmf59eE21pEwHMYP5CVZPKvUffgVPT9F0zt+0JHdiez068Us +Bx7Ik3/jh3CxRp0Iql6sJmY9tZnJD66na2tKXvbgUfPxsX+l/KBUIXYpgqYH +X8CBMUwN/LDSRsOEQrrxUaucl/K9/OCvea4hhLob/x30Y2Wd4of0zozrBgFd +WMDv3nnOVX6Y3EuRnKbtwvO3J6sFSQVg1oewJB3Yif0e+OzpMArAVsTwEqLt +xK9Lvj98zCcAneTCa9t+HfjClIPrbWkBuPQ+oFqG0IEbjl465qYkAK1/Xdrk +A9pxdXm5UriGAEjrV41077fhIFfjqerzAvDE+ou0uV8bXqX2k6u4IgD2Salq +l2nacE83yii3EYBjGkz/zfm34sAm3qXx+wKwc28/6TpNK06he+pI7y0AYeu6 +685+LdhD6KXXSrAA1H4r4iEQWjCbya9TezECQDlffP9GQDNxf7DRo/8sAMwh +gg23CM148+EJWtV0ARAvorU87d+EXRe+GB8qEoBmLqHyKNom7Jz6eUK8RgAM +6kMOBAc24mNhZXJqHcR82V9WXqFrxE5ZTllXRgXAzLGuKYauATuSqK9GLwoA +f8c7r0Os9ZhPMqksZkcA2F8V1X0RIL4nQt62vqMRhBTyKFE79lp8gO/X2z8c +gmAYEzTaz1+Dw2aMPy2ICkLc2NHUOOZq/DEyRr1PXhD4Aw9zqtNUYWfr9Zez +JwUh7bTubChbJa5wnhAinBWEwWKeqgM0f3FP6uqto0aCQG9KyfaEuQK/LXqH +5G4IwjMdrd0v/OW4nbXjioy9ICQtHJsXYf+D218ckj3jKggFWWf09ATK8EUd +LrU7TwXhoTLTr2KWUvy4/83A+QhBeEInlcVNV4It35w4bhhPxPum0iKcxbgy ++m6LeaogHL8aqjnBXYSX8wrEX+QKQsxqPOOcdAGOFnmfk19B5MmFJwOZ8vDk +DZ7eHy2CYJCLSCf3s/FuRXpm2QAxvh9v1r6yZeGXDn1NvbOCQOC6sbG/+xsP +qUomcW0KQhi2bM2iS8dDI9SUHBRCEMNIsaQklobN6t2/cbIKQeNHmWMhoin4 +bR8jxQlBIYj/XKj+UDsZGykoJtw4IASLrw5a+nIk4Xyzhfpzx4XAIiKXQX35 +Ax5Lrf5lfEoIgIKseOt0DA7SVO64dVEIvAPJDZhmQ/HKdkn6m2tEnrRtSf6m +N6YNHRcIuSMEBO7vQV8rNXHszbiaQGcippb+tvLDEy1YOMp/9CXiPILTk+IQ +hD+0teFQISieLma2y4tGl8muKBLiiHpPuL05n35Ar8FZkCxZCAYznZ0vRSUi +/RLx87SZQiB8ljJ8Kf8LqrNZOnykhIjNRDbrY7+jvxcuXLGoJ+o9u6HtX/sT +3WM9w2zQIwQKSgrPjFZ/oY5NM48bE0Q9q0ds1EO/UYE4udzjFSEwED7/SnIt +EynmWZ81JgiDY9y00uhENurVZz9lSCkMwvcnorsbctGpAHqLFnphKG51nlMd +ykeM9WzGI6zCAOs1t9UbClFkjpXDIx5hMPj8u/37OEbLod4Nk0LC8MR4l0Rm +qxj9ckmhnZMQhpx8v+/nFkrQIQqRWw8OEv3ZXNYX3yxFDIlJ74MUhYF5icJf +b6kMlcqtCvgdFwZv6ds8r0b/IKWrIUW76sKg8Jkt+cVsOaJ+c+wEjbYwdJIl +VLUNV6BYF6mOqHPCsPHFZbRt7i8KuSt1IfOiMBAOKoVLTFQiE70VxkxjYWg0 +e//hVU8VGqijWTxmLgyTLRc//x6tRlU3DqWctSbme2ASSfTWIMfot8/7bYUh +vvJvs95kLZrLbfth6ES0ZzUuD1uoQ7Xzgj9NHxPt1ap0xcfq0Z3ytMZRD2Go +TPWvurfQgG7/uFi35ycMSl6v7pE9bERq/phl67kwjHocl+yfa0QN248ePw4T +hgIh/x62+02oLOBkTkCUMDw7rHHVaa4JPfp1lpfznTBEjCe0yTxoRrtV4n+e +JgrDjlOvt+NaM5pYYTwb9FUYrO89Xe13bEEhJRoLjGnE+Og6bJJXW1B0vJ+j +eBaxvrwxH984tSJzEUa2ggJhkK7d7Rxbb0XbITd3lcqEQcfwJBS7taEtxtpS +9SphsNCbdixda0NZCm5fqxuEIW5C7b2Qeztqs1axm2gjxr9MGpm00Y6qA/48 +HOsRhrDWD/nZ9zuQu07Mh5vDxHiuDPVLrHegMAG3T86TwnBQP6Im/34nsoz4 +XEuzQMTjMxkpG52IZuP9Sc9VYn8N+eoPuHchNvvPAX7bRH3FgrTmtS7UHbC2 +SEsqArczSMJa3boRWZqRshi1CIx+yjm+t96NSP/6lPMzikDxvTMsWU49CHut +PYxhF4HeTtfxytUe1K/rup/EKwKLv4UCyJx6UV726e9HRERAWvGO8Ju1XrRx +TFTxspQImAiLB/z3oA/RJ1YTDOVEYHY0iydhrg/1p+Uvth8RgQy9+W869/sR +a1N+/rSKCLiLR1Nwzfcjstfkyd4gAtYfRs3g4QCq1uDtXT8tAjmLNKPr6wNo +hRTN7OuJAO0Vg1g7lUHUczOFL+iyCOjQzRdTuw8i8R5pqU9XRMD/0alalbxB +pKV23/XjdRFYqQ5cOLw+iIQ0yBeEbERg2YnvlbHKEDI3/qQuf1cENhpvc7E8 +HkJXmUJ/Ft8XgQgfav6N3CF0MEP79hEXEbAQiDj+eXsIWdjR7RzzEgFxp06V +yePD6NIfnl8FASIQzOmQa+w2jD7LK2u0vBCB6QdFAqa5wyhb9d2yXbgIDIpa +EIJ3htH7Y40zYzEiQFo4t+WnPoImNFmLF9+LgCiTUjeT+wiaX4zL9PhEtE+v +Va4qGkHGv44Fvv0uAnGMgq6cuyMoyvzd+9hfIvDMyLRV9sQoCk/V6+TLEQHy ++emUu+6jaJGRbk6uSAQ81Y/YauaNInpWVrHSPyIQNVj3SXt3FO2RjMcr14hA +/z3DTSY0hi6RV22qNYmA5O/Xh+3dx5BV8sqDig4R2DsrMblZNIY6zOWrBvqI +/Y9x8OPZHUMipMo23SPEfjZ/OkY4MY6kzzwVN54m5m9+6Da7+zh69X680HpR +BGQTHDwLcsdRTnTIk5U14u9NmYwtfGccZXqQud3cFYHmbF98SH0CsTs/NblF +Jgqqi3kG190mkAKzofEMjSgcd9W2KCycQD8K5A8TmEVBseCZ4c/tCTRNa3gw +mlMUKi8I/q1Xn0Q72toOTAKiEFz/4WKm9yRyfK39kltMFPQpuovliybRR9+Q +2kQZUUh6afOjgzCFlPzHx7G8KKzVffdmQ1Po3X26s4VHRcF1s9eW030K/SF1 +qVVVFYUYZ4uW00VT6PynVdnzmqKQ8T1OmG5nCr2eyvs2oCMKNooHZnfUp9FP +3vP3L18QhVNJnxoyvafRIfN5dhMjUThY3xlNWjSNbLU6O/tNRSH5rHm/O2EG +UZ9serx2QxR4PeJoXqjPIHehaPOFW6Lw522FnoXbDPrx6ZONrb0oUNJrMVoV +ziBN9bKzzg9FQVfpScbm1gx6/URGn9xNFPh/7HcWqs2i0+Xv5cR8RGF6AA1z +uM+ihr1BQ7GnRLxjR3Y0dxZxdtu9+/RSFJ7ZTSf4bM8i/JeiJOe1KIiGi0hZ +qswhCeNJCv1YYr1ov8s4us+hGy3R4X/iRSHlnvAp7aI5tH/jv62az6JA/1xf +68f2HDINv+ZnlCoKe6v1xafU5xFP24MJh9+isMhLLebgPo9SyY1f2+WKAvsB +uXcWufNor83XYgqLQntwUqTX9jxKzy2k3igXhYjPrfcVVRaQGNJoflZLjJfF +uJ7VfQHZ/no1stUsCnq3yzXyChcQ27Glht1OUYh7NPtmdWsBuZq96fMdEIUt +6djQj6ULSCvSoCJmTBR2mG+3JvkvoCoHg0aFWVHQ+TIS66qxgCSUBUS/LYvC +3UsPzj7fn0cjzJv6GRuiEK6ty0ZeOo+uBwu8g31REJyoN7/oNY/UeC9WXKMQ +g5TG2HJajXm0FHFR2YxODMgbXNl3yeaR5a/Yql4WMWh0ox4rKZlDRweXj81z +iUG8Y1/jst8cqkh7Xe4jKAatbBbqazCH5OxJ4tbEibhm7bXi/ixaU/M8vyMr +Bu01reQ9JbPI/aeWsP9hMTjtarFu5DWLbh7hro9TFoMMxyMUahqzSCwptyxK +TQxW3Hi3zchn0YesxG7WU2IQe/Tn/QulM8ijJ65WSFcMdJRfUPzwn0E8Cf0D +PwzE4NIEO++AxgzicpOvr/9PDGwGxs66k82gGThP3mhG9DdbfeNSxTRyT/5t +etlKDMK+XNve8ptGDqIyIbfuiMHgN+U0ptPTSFh9YmjXQQwizPD4Guk0+nL6 +vscjZzHgFDOl4CqZQmE36Fc83MUg7rA6SvSbQscEF15Q+xH15uu3SDSmkPrc +4J7YczEI/Pe5qZZ0CvF//ZArHCoGegyvwxrLJ1EO5ZfID5Fi8LDtYNNnv0mU +5Vyo9/OtGCSMMchNaU8ip2f8EhoJYqCaFEe7RzqJSJ/qaGcki0HSY9R4rmQC +rUb7Qc4PMWB+LfOLwn8CfdaluqaeKQb9ZiVs4RoTKJcp1tAoXwzS7eNfBZFN +oISSzdfnS4j1iXy9nl8xjnQ4Elb+/hUDS1ulAew/ji66XVFoqRMD26f8w/Jn +xhGX35WXFq3EelxKt7lPPo7Qu9vlnt1icNUmlFKkYgypJZ3S9hwk9j/EO4T6 ++Rja1Lszvj9OzO+mUEzp6THERf3OknVODLb8KAonqcfQsjUP4cs/Yv0uvrEa +Kh9FiT8sx/i3xID0iMYyq/8oyqLN/yRGEIdFM4W4tNOj6Cm12dMUSnHQPFr3 +V5h8FOVx05+qoBeHKKNfjLvlI6iM47lOCas45AV9VWN9PoKeZ+jbq/OIg679 +hfnN0yPo100xBz0hcRh8o5p3nmYERefAq14Jceh80EH1sGIYXd6Q3T5/UBze +WwaqtfgPIyddcTBQFIfbb9xD3p8ZRnrqNp9bj4tDvKfwDVWKYRR0KntiSl0c +Gr/TXTj9dwgFzBro+miLg+PTpCJR/yH0n0/2yuY5ceh9fJHzuOYQei4t50p2 +SRz00rSf55IPIVenGeFQE3EQjp7wYCgdRHW1OQnfzcVho7zxZZ3fIFpjv3z3 +qzUxHpr6gIrTg6h376ixjJ04pG8/2X9FTpzv21ICJ5zEIcyuXfr1ywEk+8aS +vO6xOPircv73hWoAUYrbHtHwFAduZc/Lcv796FSJt9ppf3FIK3n49AVNP0KE +wdt1QeIg/rWiKMS/D8Vd1HcYCyPmJ/zloC11H2oIfV7YHyUOGSU/bbNDelGx +A4Pc1XfiEIHZUr7S9iI2y/jHNoni4H6eUvxhaA8SW3MbWfkqDqrHDT+M0PSg +qDgbWfY0Yv/SFS4eeNmNBuQFc9mzxAGMj7aMsnajMWvBO9EF4nDXJNJEK6QL +nU+RW/lWKg5xU37srqxd6EFkQDxUiUNMR12LUXAncrD7FpHdIA4HF5BVInUn +qrvcb4XbxKEyCmSqXnagyb6rhmd7ifpv45ZfU3egm67jQtbD4lAs/3T5WHA7 +yiFDRywmif2fe1N6jrUdVR0avNU/T+SpqaMoQtqQ6t2wx3Mr4pDymzw+mrUN +3T33PNlzm9jPfLPU+uBWdJ/rgsgSiQS0zvYGPaZpRd2rYo9WqCRA9cfM+42Q +FkRw1ph2YZSAu7VGWYK0LegZtf2JMHYJSHLVJxcIaUZ1qiPfpfkkICMgwaiY +qhlNXpO4mSAiAdZ5go/b/JqQzSvnzRQpCaD2kmkopW5CH22Yf6ockgD/+FBZ +I79GpK6fi4yViPwl/wJM2Yh+icpz/3dCAgyuaqod52lAwzFzLB0gAcLVitQn +mevRI5H88cnTEkC4CjDPXYfKzzX1up+XgLBEcq8Z+lo0Y5nBu3xZAiyAx7yW +ogY9CP58ZOOKBBzf1f12jbka5RoP3fO2kIDkB0d2j1NWoRtHD/i9sSHq06oU +/mGoRAP7GoOv70pA478QASXev4j37kdz5gfE89Fv/edYKlD2NFOOgAvx/NVy +E2O+csRK91cx1UsC0jo+HaFj/oO0uM+tCgRKwOSX9h8JNGWoXbk/XCiYeD5G +PHqavRRJbuZeTAwnYk2ZQHe6EtRVmzSTHUP0T39uuIitGJ3V7Pys/0ECHIXO +BEUxFqHQpM6vFZ+IfF1MmPN+PlLmmXza8F0CFvPEnbLZ8tA7eXh6NZ0YL5/g +9eC9bHTT5MsN5xwiLrgoVUqfhcjse/weFBHrSS6pgMUzkLmEyd/lPxKggG+d +NmBLR3wLI2OkNUScN3JMUyINRV3NkYhskgBvg9g7YXwpaCL9Sip1pwTEu/wY +lyUko1gBWmaGfgnivqvM/fRAEqKVJYt4PUqM73esNR15POrVofv3bZrYn6dj +1QmnY5C/4eCbxEWingZ3w7mUMDRNsndDcJ3IvyJhDer0RuklshSyu0RM0HU9 +evwMUhaLr8omkwRvuzfz5S6eWCha/E47jSQQGO4+1GwOwb3rjwzamSRBmEtr +7Td9NDbeYrpiwikJFqax7mtGH7ASU66qHT/x/AXyuu5nibih/JsGQUwSFF4M +QnjuF4zu3AlxlpEEAxe3I/nt3zGrkHKWh7wkDE58dBJN/YkTnM/vUx6ThMps +52qFmV84YvC+mIgqUe/ksI9D729spnwzlF+TyHOnboyXZeJh+Xj+WB1J2PCl +taxuzcbVJ6b9k/UlgTpVbkmvNBc7TLgzqhhJQrLVyP2TXfl42rt34Jsp0d+D +jd+W44X478Fzwak3JGFRq4VXphFjS1fSq4q3JeGJgXBe6lIxvt5dSapjLwmj +/bkuUVMlmKe3o3bgoSTE/Y5TPLpWir9W/+43cZME/qPy5xZ3y/Dbmvc1lj6S +EByVtLb17w8+Z9/cNf9UEhzVrd7m75Xja2IcZXQhknCqgrylZr0Ca5eLr9BE +EOPZMrLdmPmLp6k9TgfFSsKfR4tb3SuVeNl89MHbeCJuvCfIMFuFs/+LKD30 +RRLo7yudXF2vxpdOslxJTCX6L/6WvblXgy8ovqtO/k3E82R/z6/UYtoap2sK +eZIQZvm5wXivDks5G9XoFEvCStYBu19r9ZiXkdJHs4LYzxwLG6npBlx9Zt+4 +qFYSar0cUmVcGnHvayrammZJ8GQTdrq10ohTfEz6rnRJwl3dR4cJrk243yfn +hcsAsZ9LJ/OL15rwuP2Yj+uYJMTadz9kcmrG33evvtmdIfYjVOBA7mozbn4/ +8IJlWRLshZq/BTm14OyhlA9fNiSB8fIBqez1Fnyf5cy24L4ksF4za3Fya8Wh +lxcPS1FIAWPHno7hWiu+ozz8/BedFBxa0c5/5daGP8uNFNSySIGZz97a2nob +zmX+pVzFJUV8D4dYTju14+eRf1vOCEpB0kxZ9fG1dpx/cE7XWFwKLlna7vxw +6sDfb5qOTMpKwQn3TFnv9Q7s9CKqzPSwFATe7Xw24NqJ35QNel5XloLq2rtp +Nqud2PPdA9MxNSlQavzUquPahcufc7DtaElBlJZB6aW1LlzNRZiN0JUChasf +UrLdu/Gn8Gx6povEeM9UVHwj9OBhIU9qXmMpkEwy/1bu1oN79V9KfLkmBZpJ +b4NOkvTi42HfBcqtpMCEXVepy6MX2xZFXftzRwrqrzYyUGz04qsh1UXajlLg +fWp75IV7H05xZNg2dpYC2ffRfic2+nD+SozJrLsUbMV2Cp3y7MfxN5d2b/hJ +wUH7pZkekgE8OWniefu5FNj/cvN56z6A5xhohJdCpWDyXBtND2EQG2pXxtFG +SQH340/KO+qD+NUdwxsUcVLw+OUNj1G3QRwsL3PGN0EKHq06aGwVDuIpKgbq +sGSiHofydOL2ICbzVZkW+kn0H2jA4qY+hP9NKrK/ypSC7BEjAx7vIaxteZLn +db4U3N9ge3iucAgbeQQjrlIpqDQX5/y+P4T/fpuFg5VSxPfbXNx7tWG85hJp +VVYvBYQ56gTsPYy3j9LVn2yTAi4dZvyldBhbkoexafcQ8zdtLBYjjOCnD6+5 +Ng5JQTcehjrNEcy4rlIwO0HsZ2/qJ1qfEWxnM6I9PScF1qPWokxFIzjgcdvi +nRUpaFWzF9AgjGLSt+3OrltSoHdBYZdSfRSrWggLMpBIg1H6KdMt71Gsx6rM +4EMlDa8I2k0ZpaO4qN2sI4BBGiop9w6QEcbwvGpNKT27NMSZ01B4aY5h70yd +5+K80mBvX1ca4j2GJ3lfRwsIS4PDHY+Ym4VjmEFU8m+MpDSQZ3nN3tkfwxEn +K5uSDkpDRN4JCVK1cdy1lr6reEQaSpl1ev96jWPvPynkl1SkYVaQ8YlI0Tje +9Xxw+RKSBh3u4gOa2+NYuce3rFVbGo5Z/bkWpjaBW85+ZJw8Jw3CNj4P77tN +YLmr1G4el6QhOS+MxrdoAl8zVpVfMZEGi60XWSaESbxg41W0bS4NHMtIp0xt +Eqtz0zkE3JQGs5OnTUy9J/Fiz6etD3bSkKJKcf5p0SR+HMxQ/9ZJGkRl0q64 +bk/igv7CUp4n0nDkVuporNoUttLyCJf2lAbNa1aGF9ymcOXZAL9cf2mYT7LT +PFw0hUnVrhYceCENxR3Xdbr3p/AHTfXGQ6+kIbaU/giX2jTenLKlyIiWBsp9 +ujgfr2mcmfiZo/odsX7/yqa8Cqex/L7sGcskaXigUp/5nTCDvc64F/d8I+Zn +++fNe80ZfDihl308TRp6Lz+wlPCewfHvA4LuZ0tDQsjDr12lM9gpVa0ntFAa +LH0OtYmQzGLur6UPQsqkwfh6K/dh9VnscTpMjqlaGsZCarvvec/iU2t3S4Ub +peGhs4ePetEsrjwT/iSjXRrCf3p/ViWZw6KB/s4yfUT7FocREq053N8ecEF+ +RBrk0rgoTL3nsElVw9nsKWng7mf5NFQ6h5XqpYUaF6Rhp+yPzA5hHo9jfdXq +VWngibSq6FObx0aXC11P7xDrk204NOs1j6U2T0RcJpUBh/C9wdDCeZwFJA3D +1DLwo2jL3IKwgL/Eto+SMsnASsLPkj/qC9h+6IkmOYcMWN83UF10W8D/qqxK +nvLJQNBrraNnixYwRVn35W0RGRg7Mrm1v7+A/wfXRCPT + "]]}, + Annotation[#, "Charting`Private`Tag$4762#3"]& ], {}}, {}}, + AspectRatio->0.07957747154594767, + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Frame->{{True, True}, {True, True}}, + FrameLabel->{{None, None}, {None, None}}, + FrameStyle->GrayLevel[ + Rational[187, 256]], + FrameTicks->{{{{-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}}, {{-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}}}, {{{ + NCache[(-4) Pi, -12.566370614359172`], + FormBox[ + RowBox[{ + RowBox[{"-", "4"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-7, 2] Pi, -10.995574287564276`], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"7", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[(-3) Pi, -9.42477796076938], + FormBox[ + RowBox[{ + RowBox[{"-", "3"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-5, 2] Pi, -7.853981633974483], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"5", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[(-2) Pi, -6.283185307179586], + FormBox[ + RowBox[{ + RowBox[{"-", "2"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-3, 2] Pi, -4.71238898038469], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"3", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[-Pi, -3.141592653589793], + FormBox[ + RowBox[{"-", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-1, 2] Pi, -1.5707963267948966`], + FormBox[ + RowBox[{"-", + FractionBox["\[Pi]", "2"]}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, { + NCache[Rational[1, 2] Pi, 1.5707963267948966`], + FormBox[ + FractionBox["\[Pi]", "2"], TraditionalForm]}, { + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}, { + NCache[Rational[3, 2] Pi, 4.71238898038469], + FormBox[ + FractionBox[ + RowBox[{"3", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[2 Pi, 6.283185307179586], + FormBox[ + RowBox[{"2", " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[5, 2] Pi, 7.853981633974483], + FormBox[ + FractionBox[ + RowBox[{"5", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[3 Pi, 9.42477796076938], + FormBox[ + RowBox[{"3", " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[7, 2] Pi, 10.995574287564276`], + FormBox[ + FractionBox[ + RowBox[{"7", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[4 Pi, 12.566370614359172`], + FormBox[ + RowBox[{"4", " ", "\[Pi]"}], TraditionalForm]}}, {{ + NCache[(-4) Pi, -12.566370614359172`], + FormBox[ + RowBox[{ + RowBox[{"-", "4"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-7, 2] Pi, -10.995574287564276`], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"7", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[(-3) Pi, -9.42477796076938], + FormBox[ + RowBox[{ + RowBox[{"-", "3"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-5, 2] Pi, -7.853981633974483], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"5", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[(-2) Pi, -6.283185307179586], + FormBox[ + RowBox[{ + RowBox[{"-", "2"}], " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-3, 2] Pi, -4.71238898038469], + FormBox[ + RowBox[{"-", + FractionBox[ + RowBox[{"3", " ", "\[Pi]"}], "2"]}], TraditionalForm]}, { + NCache[-Pi, -3.141592653589793], + FormBox[ + RowBox[{"-", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[-1, 2] Pi, -1.5707963267948966`], + FormBox[ + RowBox[{"-", + FractionBox["\[Pi]", "2"]}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, { + NCache[Rational[1, 2] Pi, 1.5707963267948966`], + FormBox[ + FractionBox["\[Pi]", "2"], TraditionalForm]}, { + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}, { + NCache[Rational[3, 2] Pi, 4.71238898038469], + FormBox[ + FractionBox[ + RowBox[{"3", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[2 Pi, 6.283185307179586], + FormBox[ + RowBox[{"2", " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[5, 2] Pi, 7.853981633974483], + FormBox[ + FractionBox[ + RowBox[{"5", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[3 Pi, 9.42477796076938], + FormBox[ + RowBox[{"3", " ", "\[Pi]"}], TraditionalForm]}, { + NCache[Rational[7, 2] Pi, 10.995574287564276`], + FormBox[ + FractionBox[ + RowBox[{"7", " ", "\[Pi]"}], "2"], TraditionalForm]}, { + NCache[4 Pi, 12.566370614359172`], + FormBox[ + RowBox[{"4", " ", "\[Pi]"}], TraditionalForm]}}}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->{{15., 16.000000000000227`}, {29., 27.999999999999986`}}, + ImageSize->Full, + Method->{ + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, + "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> + None, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& )}}, + PlotRange-> + NCache[{{(-4) Pi, 4 Pi}, {-1., 1.}}, {{-12.566370614359172`, + 12.566370614359172`}, {-1., 1.}}], + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.02], + Scaled[0.02]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{Automatic, Automatic}], {869.925, -99.2}, + ImageScaled[{0.5, 0.5}], {1657, 186}], InsetBox[ + GraphicsBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], + Opacity[1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJxcWnk4le/ztu/7vnMOUT4iEpJ6JyVJyVaiLGVJipRsKaXNUikRUUoSEYUS +ikaWkH0Xsu/7zrH+zvff3/nnXM81z/PMzD3vmbnv6z2kc5dNHeloaGhoWWho +/vf9/z/aFYN+fEpBxLzVrtqnB/jwvMi3kGaVaEI+6+AcS+NOVAt+fE4rK54Y +ur2V+2ePHvKOTjorfPlAGPP+8gjhN0PGW8++S6h9JnyTihU+udugzeK1FO+4 +TCK6meVFzjdHlP34+ynrcBaRnNluZMnhhgZca0ccpXOJRt4vXmdLPBBYg7Rv +SeUREsyQ/r7MB79lzW1RtkYiei//gl67P86rzLX8VP1F3PQP7y16cgeZNOF3 +WmohcdV3l2ryyH3k8LuOgvrFBIP0Pq2rHcHoQN/kq19SQuR2hRj4NT/Gdc05 +mc/nSomzHY6/s/eEYev8tGf4UhnB5a71/UpKOG5JJk4ecv9DaDSt+xXxR2I6 +EWFfwVxJuHLXrHD8fYFoZv9K+m4VEaVpVLI34iXyzUVULkjUELGC3De3Z75G +gj8wgMWilhh0rtASXIhD7V7pB8G8dUTAbGn+tcB4/Hun2YavvY5Ys/jwfN/x +BDTMW5CKiKwnduZd38HInoj+sU1Hi441EAe5/tCz1SShupvId+RvJJLGFUSg +MhmLApl3/qloJDRYBeJXcj4ig5xX+tVnTUTP5xjVmZ40DDBcc3xn3kxsuiQu +9bGmY/vqCO8GSwuhtq3M9IhIBppsdzmWXdFCRO6pd396KBMzd9IwJd9uJUj/ +nr+QuvAF58tZBwnVv0TLHl3B7Mdfsbzy++/Fqb+E33nZ6D8NWRjszp7G9raN +MHNn6lliz0aPuEdfOezaiVpV94VRpxyMKU/8eIu3g/hme4SvLDMXM78+c3n5 +s4NADiXyxc3vuNf9yYCT7z+C8mh6fq97HqZWdT6ule8kXvrQNbbX5GMbyj/M +6ekkFBc8QyrNEVk9dUZUXnQRygFDWoRrAXY+PA95Mt3Efl1xX3f5X5gS+Os0 +7Y1uIiXF98Xw7C/8RU/bmFfbTdyIHZb4L6sQha6+sj0t20NMnT/glulVhAY9 +v0P9b/QQ2t0PT7MfLUYReoa+nTU9RNqXVYqWUAk6eKi/ttjaS8Sdss38MF+C +bLpLBha3eolPIimu+vW/MSlJpZiptpdIytrnUvmuFNsavv9iU+gjlHQ4tVlC +yjBK/rB3tXcfwUAn4ptmX460qVyv66v7CJmOb3vOm/7B85M5wkWy/YTrfolj +74gKZL5xmu/Z1X5C7o+zubt0JfY2Dg+ElPYT9rnpfukCVWiT/TD9qtAAsY2r +4CvPWhVGPndLO28/QBgtav3H21ONKcqfPa9+GyBy7Nj1mttrUN3hCn0I/SAR +fj1fjcahFjNfXXFPOjlIFFzlsRIdqMXNoPX79UmDhPK5nTozDnU4t5ZhsHVu +kLhkeeOO7L86rFI8I+JxcIiYJW3bdt2uHoNY3oUsPxkipOrOH1hvr0fL+IYn +H/8OES5ckwJ9Jxpwg6frjN62YeLh/hJ2lvYGqv9T93Z5DBP/Nfjlc51qRPk3 +Bkq0RcOE4bJJo0t3Izbe+HtbjXOEKCMM9G/bNeEG3ynh9ydGCEaZMzHY2YR3 +pKBo+N0IUdoY3OPk3Iy2DW/XPo6PED3bL3c8GGnGmNEoAa09o4Rm7f1TUl4t +qHYmO4k9aJT403jhQQVNKx4ofhrjXTNKuL4OGc+604qZSqQf7yXGCHv5iiUy +z19Mc9/08HUYI65Fkfd8iviL6wqZUp9Txoi4rd70vMJt+DnH0zF+cYwYUcys +Wk9ow0BSj5Xx3nHid4gb099t7fi5ab9iTvA4Ud3EvXbwezsaHngyHNw4TkxG +ezdeO9yBM4sNL7aJTRCKE+/Snas6sDI+2jXAcYJI1tzvNWX9D3Vd3+/N+DhB +mO7luiM38A/dTz4Rtp2eIKaz3JpnLnSiWN5CZLH2JCFz4tBO05VOHBw/vMBz +e5KYaa4j9gd04Z/bT9QEKiYJhkUN3V7WblSER8pMvFOE7/L21XNG3ah0XTbq +7okpQjN6IP5tWDeeS1GsyXkzRTxSfrkvua0bVfbXjQ/1TxFc6/ud+6V6cPO+ +6vMh4WmiU+S21LBzDyakfxNN0JkmHusKk95l9KCerZ5Er800ESp46HzQQg9u +3aSwrt+dJkxfjF9UJ3oxM2jf55eJ04Syq5wK071e3FHf8jmwbJrIEH2t/KC0 +Fy8FfPQxmZgmZu8lylfw9OG3XqbKt9wzhFWm5rYdJ/qQzardvFZ9hkiy0j1k ++aYP/UIfKRhZzhBzb1/nKA33of9cT6i53wyxVTJAXEKpH2v2Fw3vjpshYp3m +xKs9+/HtfOn3D4UzRPxCyMGY7/1ozn+peXFohqA16ji9lXEAxy8ky0ZyzBKj +h2sWzhgN4Km2Dz2oPEtcc20qSX42gDRPgi3KzWaJZy6YlN0xgPGfB2KsvWYJ +9SAlpn+kQQz9+aruwItZovHPOfskx0FMSPDdYM2fJcb4uk+ofR7E5WXBI6e7 +Zokbl46RG+cG0ZTeJf4RwxzhYt9xUmPfEIroTcrxbJsjlO/ek9gbOIQ7jLP6 +pQzniE8DQresKoZQ58lSAaf7HPHlpa6iluAwmla3dgc+o57veeOoZDWMQT+3 +7Db/OkekasQJlsQO40HrFxk0f+cIX9YBJqmhYbwbmnVZa3WO6Lp48N7j/0ZQ +beKokbHMPFFvS+jme47gwfCsq3O684S9N/Mj+h8jGOhuVTvsME8kz4/oT26M +4PVUpgM/g+eJt/ejt0cfHsUvJ8j80qnzxCoTpcrq8ShucS9Ysq2bJ6T22EV1 +tIzindF8wbmFeSKbdLeBjjSGr31e+vKKLBCCESdeEo5juN9kcZ177wJx72z+ +O53PYyj90bQs2naB+NUVpmk8P4bMeLbSM2CBUDzjt5dFaxxr6atmVBMXiBIn +nTu3A8bxcd2cjW/ZAiHKt2jE/XscGZ6zz8RNLBCqaG1mwzOBxpfiisX4Fomj +H/W+m1hOYJLC4RFp9UWi09478nTsBJ615tRntFwkslPXA7YMTeCIUBSzl98i +MZ9xARmVJpF596psadwicYH06fd7r0msZm0qcilZJGqL/VJHcBKVf51diR5a +JFbIBjcOMEyhD8f4YjLHEiFACdt/xmgKp5QcyvRUlgj/r4evhYRPYXHm9Rfq +JkvE9suroadbprC+e3OewWuJiDo9wuLJM43nLk/Gn32xRKgcMbj3W20aaaf4 +cuPyl4jWBu+WBYtp1IzfI6Peu0TESB019LwxjQoet+6bMywTnDLehv6vp/Gf +SRnJctsycf1qgql90TTy+FTYjRkuE96wTtQNTGP5Wy31Btdl4ob4A+ZQ5hlU +irEseftsmQg7q3JETmkGNVJd2ze+LhNJJy880jWaQcUaRT69tmUi/fGVLk2P +GfQULuXo31gmxFq+1vpEzSAp2f4yH4lCCN4/0gS5MygztVNe+RCFKLsWEd/+ +bwbZvnoKtzlTiDb2/PdrmzNYdTOV3zuUQiRLr74dl5tFVoFMiStfKAQxHVQu +azCL5FtdbGtNFAJu7LTvdpnFn4f6t/mvUghn7vMRjk9mUdBzcyxMaoUoDvW1 +uZwxi5y7V3Yx7F8h7l3ZHv+zfhY1KpVarjmtECKwOFe9OIvvOl+reQevEC9/ +JUTYi8xhiqIcm//nFeLTFOfXTJ05XLheyDPauEIYTKezgt0cav5MNxxaWiH4 +ei3HTALm8GbN0UuzkqvEDAQzCbyfQ2k9nabQ/atE/9+M41a/59D02+zzpw6r +hOSyE1/n4Bz+0ThzKyVklaC4SYQxsc2j0DzLpNmnVYLHXOzpV8V5TA04wSnV +tErwh0k9XT82j7efaLB5U1aJXc56Byvd5tFmef+d3ZJrBOO/4Nr60Hm0i7FJ +33pwjdhTsSnvlDGP7/pSLd85rxH6rb9p7tTNI//cl3Dzp2vEB7eX+VLz86g1 +QmR5Z68RMaMTMCy4gFvoXN+TOtaI4G3BJ/btWsDbe463cjOsEx9KhDfpLRbw +rbxUxJ1t64So1INmC68FdEqjpJ8zWidoDpxJbo9YwHfXs2mfe60TzXLc7/Ky +FpDyXvqK3qt1Qm/LlXjVxgX8ezXY0axknbjbEuo6P7+AW1fJE80T64TY7jO7 +2wQWkTpwmmr5NwiTjK7Tp9UWUePuwlnNvRvEZfZ7PgKmizh6zNKax2GDOK7a +r3Dp8iJmdrXSnwvdIOqFjiyOhC7ioSJhFcWcDWLHKP/ue2mLSL/ern66a4NI +eJ3y8Hf5IpbtuhcrwLZJfOIX7RYcXsQ7NXljqmqbxOjD/c95GZawSUWg7Yfl +JmHnwmOtJr2EJzijHv27t0kYvD3Rr6ezhPUxPfKn0jaJfo4qU16LJfT2YG71 ++rtJTNk0Ya7HEnKqZ525R0cD26/W/vF6soQ0bLZv5oRowJ70SZvyYQnLHt2M +09lOA++94jO1i5eQVL83Y6suDaSNBTAd/reE+lzOzaUnacC45nSv18ISfvdI +oOi70sB95kamQ9zLuMtT2NfhDg2IKbxfmZZfxi3Nbp/PR9OAFofnOhmW0cZV +L5j+Mw3UflHv0bJYRotqhrDjxTRg4XErz811GaMGBiaC2mmgbjbVie3+MnKI +VkWbzdDAzaAq7tiYZeS8HV7Kw0oL4uwfnK5nLOOivZDhLRlasPPiEnYqXca2 +6JBz3hrUdZuJq037Mr6Vmyk8b0QLNSdp39+cXsZ1vRv3/jnQwlmRbze+0lNw +ymVCe+06LUjSeT/nFqJgqeW4lkg4LRQaNq+4bqMgc3rBUEYyLax881dd06Yg +zYlneLSQFkSWM/+4H6OgE7G+5WkbLfw2eiBJsaHgp8hcd4MZWvgZ0jRV4UbB +OZeyUmY2OkjR9em1vUVBz8U3pZokOgh5eJ7zaCgFR9/T9jFr0UHmkv/x868o +qK7oI95tTAcD8RNa0h8pqHrNOpfsTAdfxBQ3DHMoqJC6Wns5gA7+Blu2LJVQ +438roCMbTQf3rg7+Uain4Ck3cfkr6VR/9sZMT/5R0Eqm4ExFOR28qalJMR6h +4PbLT1Tu99BBxaGbF7LmKLjVhzXz6CodHNtXXnVyg4KrnZ5HUgXoIVcyZIzE +soITZju2OCrRg3j5lo10nhWsMWA2VDpED8VKxlctRFfwDeGrZ2BDD9d6Ikvq +ZVawyIvNd8mTHkiOW19HK6ygbPnvoS9P6GHXPoGPZsoraHqytb8giR6uhlg2 +0KuvYJftZ9qOX/Twwfa/1ODdK3i9IHHX/XZ6iMzMGzXat4KcovK7r8/RQ2YX +b6WS7gruqWAl3eBkgPFK15c/9FZwWpR0oHQLA3zrS8Rrh1dw//pTQgoYIOvG +R/1fR1bQ2NDwzbolA6jZ0ylYHV1Bb6WJAPJVBhCMehcLx1bwpU5Qi/EjBqCh +PC59Tl3b39V7W5jAAPX5X6KPUNfn/cifcvIY4JZ7d99l6vmTtUc1s5oZQMx3 +17Fu6v0HHjXEzU4ywJpeh0AD1T8tnSm3Oisj7Bo73DlHjc/9WHDqApkRmNz1 +916nxm/2OEVzUZsRotM5MxOp+Z2vF3q9aM4Iw5q1u/9R89/Qe1ap7soI+gdf +KFdS8bHlNmufvscIVi9/O+iprGCswi2JD68ZoXL659r7rSsoYLp+7NU3Rgg7 +mkbbS1pBTe9DrYG1jLA5/JdvhFofmZVXuZ+HGUGs7JFqJy81/0Pm7KY0TPCi +z+nmJdYVvHGTe21AlAlWuh4OvdukIOev8/7fVJngSH56+fgCBa8pH5vwMGQC +2Zgk9aJRCpo/0l5PdGCCreo7OBS7KMgrthYtfIO6X5O96ncDBU0O2pm7PWcC +l/2GkzW/KbifWGOgT2OCYN4hCuk7BSX8XshzFTNBX4fsVcU0Cl4V9jm13MEE +y12rsrdfU7CAbTyePM8E+1c8PXSfUvBvjs1heU5mmLSMbdO6TUEXBcO4l1uY +wdyhoaDrMgUvGj9nMddhBo5SgVJhOwq++ZbF0GnODDm3tWOdjCiY8elTVOpF +ZojiW/htuZeCw9xvXh66R73vZ3jsUUUK7rJeGDV+xQxbo1hdGIQpKFjOxZaQ +yQy094aa7zFQkOeyzP3vf5hB3nhfxOgUtf+YVLBK9FDvnzTmU+pYxkP0aDC7 +yAwaehElp8qWUeAZE/s9LhZolwjsN81cxtte2/ic5FigLCJd2TB2GX/Y9lmv +72GBkaXc1HVqf5s0oIwxmrFAHP/mYIzbMkqWD/x0cGYBttW+qFOnltGHJ5zv +yi0WEEnb4vODWMaFD6t9zREssO84z4Dx1mXkozt5/msyC4zqPNizwLOMLE4K +ZK0CFkhyPSCjtLSEVzpX2SSaWEBUi1DI6lzCvh2Gl+PGWCD2cmH6r6Il5Dg8 +eTaGlhXmuf1OdFH7/6dUobxVQVYgKMyTrtT5cGAByhf/Y4Xzb0IId+r86FKW +lLIGVuDfW5c2d2oJ878tDVmcZIUSxTsanXuWcCPo0GbFRVbY0jrcPSW1hF90 +FWm+3GKFOzXMPa/pqfsn8723PmeFUJcr4h8GFnH6ttWkQDIrkF8xXrCmzj+G +DL/2O3msYBIeZ2VBnY8FqY8GfOtY4QPHvrNx1PkpyzV+aaqfFaxSppg/XVnE +S9JydSMUVghW83pMS52/PwO9gh252CBh2no0ljqfP0R0G50nsUHOaoiUkCD1 +fvqTNiO72ODsPiWNCup8P7Sy4/7CYTbQD0rQdm5eQBv2YzwPT7MBS6vvcaec +BdQ/Jb3w6jIbcOW++h4dtYAZ/g8Y4Q4bBGq6t5T5LGB5dPXxM5FsoOM9xaRA +5R9bukM/cyazQQD3/bCHmgvI+X6f2bEfbFDMTJm1FVnAU+edVOlr2CBJtH/G +enEe3/h7NZj3sIGShPZtj+Z5LC4eMRFbYIO8Q4Yyn77MY9JZX/fLLOxg8Egr +0DpsHiVJz/44ibHDBZWCC+pX5nGnmdFszXZ28N/J3qhkNI8rfSp/Ogl28FRI +YD+xfR4bL+v/d8+EHVxFVb/Scc4jM8e3jmgHdujn/8+lZmQOsxssW6282MG4 ++EFfa9kcal/8MRMSzA7ETPKezYQ57P23EWD2ih3yaf3o06n8cW+xzKPKNHYw +f6KgnEzll1GVnrRfC9ih68DvnT+p/PPHPrUUo3p2SBLe+ZokPodnl23kLAbY +YfBsRusbKn99xKvxb22Jen9tkPYXKr/NSnnJbMXKAbbT7dJSVP6rM/VvQk6C +A4i03oF3j2aRn17dK3k7B0TmfWu8T+XPzxXmYmv2cYDE/U51Jiq/vv1WON7e +hAMSkto63lL5NzGa5fr1HAc0n8/ZG0c3i3rVznwenhwgJz40mUvl761Hg7ia +AjlASS8505PK7w89Y7u6+IIDntKPR0ZT+T/bxec8Dz5ywNJ/P+P+Xp3B0O0P +zzXncYDXFeMr94xnME97R3JlFfW+ziupzcrU8xG/w1W7OeDbeu6QHtsMZm2a +DZ+a4QCdZ9vtZYen8VRQuPAUPSc4N1w/LkXVL1s4vQMPC3JC1DRsD46bRvNg +zccG8pwga13467X/NFrTBjXkanHCsonJ83rLaRRQabCeNOAEtO6z99GcpurN +0DPPznDC3cM0bTp80zgRapDY6cYJLTNlA1btU3jX7VLP1C1OyE/YVUKOmsKS +af8W92ecoKwYxrXt2BRGf86iz37HCQ8y2kWEWabwSf0ttsSvnGBxYDDJtngS +/fiCKAylnFC0duzk0PVJRMP/zm5r5QTajJQaC41JnIm1KMof5YT0ypgM5vEJ +nLi2pry6xgnPwqca+N5NYLvHGdUNTi7IvPJrN7PtBG6wlO+9IsMF9ft3Hdoj +OIEXsnqHk1W5wJJrIutU7Tg+WBOJNz3IBQXJgR1Z98cxIWXUK+EkF1xvFXjk +pjOOZgLakz/Oc8Hzk9s+8SyPIen7U88D17lgMOdP6GzaGFpJt795+pALJvQr ++vKdx9Dcq2nwwSsu0FXh/d0vN4YOj2n2TXzigheiIecN20cxomOLlmgBF5zY +cePmy8hR3K3urZtVzwWfvUNN/zs6ijS6nhdW+rlgXfbvVyH6UXzL4riTcZEL +nGn8Ho79HEGi9A7nbRZu+Fp5kETjOYJqXBEhBaLcsMHk+t+Gygg+f2onl6LI +DS15zEUW48PY4upaTb+XG1gm+cVm4odRojxGXNaIut9ebdDDZhiVaP18M+24 +Qdj6kYeGwDBe37lzYfQqN6RVVTwzrxjCELYywZG73JAtw6V8JnAImZW5DC0i +ueH+r/sx7/YOYVyEyraHSdwQ3LHyrHF5EN3EguVUvnODxXKBv96nQQx2eWd0 +o5IbpOd5gM9xEKXptVWf/OMGkZ/9FWUyg5gVSWPMN80NfYxTv7F5ANWursem +0fCAm5N9V1zYAO4aECmQZ+WB3Z4OHp3HBpAypEE6xccDBz6I+lszDKDK9wO3 +x8V44OQz4bOl2I+nJvfp7ZbjgejAx7Menv1obz86Z7WdB6ynR8f9lah2h6Wi +rl084LnE1uE53Id/C8aNJQkeOPX71M7M1314XfsX6ac+D6h2tEe0W/bhF0UG +kroxD6S4XwtyFOpD48WsM/aWPGB1YWJAq6oXpQcYeBfP8oCU19vllaBe1MmV +9te9yAMXCp/ZsRG9+ChA4PmsBw/YMM1ysa30IP/lIl+fGzzQKmF++vK3Hrz1 +N38+5z4PCMQ7025z7UFtX15z61BqfkVXGxu39qCku7JAfhQPKMhv82zv7MY9 +Zurv5uJ4oGlidPp4ZDc+H2s1CE3mAXudwpM5pt0YlKmXNJDJA7ryE8EPWLsx +kMP/+NsfPDBuPloTEdKFQcmJSurFPNBL3CA2Gbrw8YnvJ+5X8cD6lcHM49c6 +MdGiuVu7mQd0aCWCH0/8w37ho8LpXTzgFW/3sOz0P/xV+pl9Y5i6v2HwFU1V +B448ibdMn6HWVue/K/KHO7D+s7233CoV7wAefbecdkyp20m3Ts8LYtkFjIlq +7fgzd2hLNCcvSA+JzUnFt+HpxHQPbmFeqLsr6/9ZoA0pQuxdI9K8IPHR/r5S +2F/MjX3/OGQbLwg6RweK0f9FA7HHB5+o8YKkYxAs+LdifQr3quseXog7IkY3 +vN6C/BUHqgX1eEHzve6V21db0KRiclXGiBcoRvGNa9PNeNzU/vWSBS+oNtxW +NHRsxmcNzCdTzvIC49b+X+0dTSj4V/ESuvDCuZ3qc7pUzbqYeffAu2u8MBr0 +dT6vqRFp3jfRjtzkBdo8u1AWi0bMUN81xBrICydHfl591N5A1UNbp9ae8sLb +78aBzicbcMVQ/KpxDC/IPcN22vZ6rIphbfFJoNqjw9S3n6zHucGRNZpPvPC8 +rJifs70ONSedfzhk88KEVG/hbvs6lFyx3Iz7xQtZ2ukBBr21KKc3QtpTwQt/ +PZ+FzrjU4uWuG3npTbzAEdmkf7upBo8HaczSd/MCn9GQpnxHNfp7KdVkjvBC +5ebu0eyFKnxJf5B5/zwvFGmt7DuxUYkiij2F2zZ4waLTygZFK9HU+XLDBDMf +yB4uvDa/pwITrpEEnvPxAf+BC5zrx/6gaq3hgQgJPjCx7Zq4ebUcM59Atq8C +H8iVbdntE1iG/ImX9uqo8QHvYqBI/rtS7NNtrTDT4YMj0vX9QvW/8Wx29L0T ++nxwqyuCUjNTghPvWfNTTPhgzEhkwU22BGuT7Jb/nuaDm0fvxqycLsb0lZsC +S05Ue8zE8/oHRVipXnHd4QofjNqsOIgVFWJintaXwht80OzIH3Fl9hdyhom7 +Xw7kg88CVy6vq/3C5X9O0/NhfPDs7KXYwOsFuMtRJNstlg/ebNyv0r+EuHvL +D8+dH/hA965XXyXDT8wY26I29YUPliKZjksG5mEkSdHnHvIBOcmIck/kB4Z/ +OD1u94cPPo1ay8+X5+Ib2UEvzWY+8HAIeGZ3Pgf/uDaSzHr4AKzyY3fIZWPw +8Qidg+N8IA4VlbWTWbjeLC6vtswH23jiFN4kfcU0k7LTZ+n5QbbGRPKc/xc8 +bdBz8wk3P9Q6pFmJ62WiqJE6V7g4P1SbL2zNFMvAv/s4Nhvk+cH5+eGLiRzp +aHn+O6fQTn64tqvtcEFPGlaZka3D9/GDI39Z+rGej4hz+tf4DfnBzKf8lUB5 +Ml7sRC4nC34YH5gz461OwjMVAoLT5/iBOX7VKpopEXUszd39L/PDdBGTK5dS +AnYXbZB1/fjB2I/XUetuPHZ++KrW+IAfNH5mFU3OxSGLQ9DPi+H88JoSlnQ8 +9TUuqXlt2fWGH+7yyfnGVb7EuiLJUYZUfpi5uDnu2PICNdJ0Ft/m8IPm1T77 +IpFIdGA4EuRazA+Nj6JkF9LCUZT8gA7q+EHRaLVhWiMMU0/waBD/+EHAamuG +pnQoLptYCbOM8EPRt58b27eHIP07U77WBX54YstIalZ/gBL7l+braAVAI+Oh +gk/FHbzC2sHbwCUAT9/djpJu8sfUnqI7a2ICsKlvdqJf2hdTTrgujMsLwKe5 +xz/mhK9haswA0b9TAKQiaDaVQ9yQSbf9Hi0IwEXbfVsXc51Q+l2A4ZZjAlB5 +ptWIU8oWPfkf2HFZCYApk01RXqoZSvpE2Yg7CUDnZcu/CV8PYaH/1oHtHlR/ +FZlHl5/vRPm7o6r2twQgU8Vx8fwNAUyyYH5w+qEA3NZLkWhQebRvTuWozKkX +1PXcVPZ1JT4kxz2i9UoQAOmwIcYnx9QwvqFTPCpDALwisu9q/9HDwF7GQ74/ +qf6vpFpuzzHDBP3eB35/BMA+0+BEn4ENtlTUbLnVIgCfuf/TeSzvhFUehkrx +fQLwfNO6a6XXFQvzmTlLpgXAZfetZcFyD6S5MfcicV0AnmTkTL9U80UBMZ+B +RFZB4H55SiiUit+p8juxCUKCIDlu4l325w56s95MKyALgvdx5Zt79z1AR921 +5zHKgiCddG5UbjgY+wb9iMt7BMG6mNcnTzUUB31ptp7TF4Qr+bxCz/aF4Ycu ++rhj5oLAEfP5ktiPcNxSMvhs3k4QDgw9PvPfiUicayhl+X5JEO4EnMjhHXqB +a3ZqDdd8BcFyl4sq0foSny+93WV0XxDc3A0PhuS9xldnfuzpeyoIVy/tNfBu +i0MFtlvqLrGCoK7MWH0kJB5jlexf8iQLwkhuQM5ulQTcaSGvkZglCBGb4sr0 +7InIFP6Oa+GXIJBDrk19nknC8sKf4Q5VgmBLz7B7uCwZac+13ca/gpD2JIe7 +pO0jGubu+rFnQBCiDQO2lbWlYbjI+Jm4GUH4npa1Q5P6+3xJOWKavy4Idpxb +cvepZGBs/YNr6yxCIDOe+YlXPxPlEzTWSIJCYH5jT63brS94o6H0o4uMEPje +PjBv//Erfj/sFe/6nxDoczWsyv/NwvG36rwmmkKQnnAhnnFbNh5hNOc6rSsE +M1bmL4675OCl2wL2ukZCcIs3XGK5Ohf7Cj2ley2FgPLqb8adXT/QzmtY86OD +EGTvyOUzfJiHxnuffDnmLgSvfWLsWxh/Im3dK3ZxPyHwOz4R4OeGOB38vPzd +fSH47TgzYHWxALfUSanQhQmBUaS3mC+1v/IGHn4b+lII+P6wxcRN/cK5tdHS +iUQhsJEe8DYoKMTJ6msFNzKEoPBL8pAbtX8rv1I7XfZDCPb9Knyfa1iMvpzZ +O/t+C0HaYU9H9i0lKL43zlm1Tgi2hmmLDc2WYHVUvfTRDiGwFGg102j6jYvh +qh/JQ0Kw9rqj5Nm3UmQReGK+Y0YISth93UIflqFD57IF85oQ2EoEBrl6lmPc +HdqbwkzCEMm0yXnF9A+W2NDdGOIWhnkpEuOj7RUY08y1flNMGExO7346JlmJ +1RL3bU3khEH6cUPJW5oq1Ey5Rj+iLAzP1G0Txper0I4l4RjsFgY6Dr9Y5alq +nA61MWjVFYb29nNsDC01uHLrBZfaMWE4/mn4ow11Pn/fxhtafVIYZMzstet7 +avH4wMCo4llheNp/Ys7CoQ5rPf/5GlwUhtkk+9zQgToMeCPk9+maMPRujTFj +sq3HEYvDp+r8haE6pTj4U1893irySdAJEoakLs4MF7sGdN5VKu4WJgzX9not +36Tyj4uXd+Hpl8Lg+fVjfdvZRjy1LQeeJwiDalJGO113Iw44kmOCPwlDcETB +weALTcjRvC3zYI4wlHwa9jebaUKSQsum0S9hCOj1Vnvv3ox9yxcm+CqEofbG +sQtOq814VyKxTaFRGCTeXst+eLMFM/cHijH/E4bGibrFNCr/Wjolzv1mUBha +hZ8YHg1uxeqe/PmQKWE4G+rCKMzxF02ZlBqVKVS8E3xeOsf8xSs313S30onA +T9fpp2XSbdj2l7CfZBOBIjaRx0tUfvhjMI7mkoAITFXIBnxRbcedtefz9kuK +QHBsxMjx7HZUEt36uFpeBKL6+dhvUfmn6Fwd/7YdIlAnzxJ/oaUDNyVc2Tq1 +RED3dL8qh/U/DB/lmDbQpfozmkzXnfyHOhXXfHkNRcC/nMVfwqsTP2s3kZPN +REDzDKoFrXbirty+7KUzIiDrwWYY+KgLNcujs945ikCjlcEoI1s3Cg8+fcJ0 +WQTm3i6pPTPrRt6rmle1fUSA1rkxrutlNw7RhOytui0Cxd/OmNp3dePAoWJ2 +4RARuG5d+PYzld+7G+TfKXgmAnQvL7MoUfm/gdvXezovRSDAwknBOr0Hm5YK +cy8niEBE7WH9E5QenGD7/JI+TQSy5kd2ee7txbSt/5UZfRMBPXKp7enAXrT4 +vSa7+lMEplPKNBwrezGgWpvWr1QETpzRnhHn6cMg3de2mbUiILUlalsUVd+c +fC8WZvhXBGyX2QZ3UPWPScQR21e9ItB8QWV/KFUfGedlSf8aE4GQeOPZCNV+ +7Hs+suXwvAhY8oiGfqPqq7jN1/MP1kXgNJ+wcwxVf8msCGtvZRIFFvHVd1FU +fabOxdIUyyUKgrOjonsOD6Bue/PJCmFRWFKPbntD1Xc/O/QDTsuIwtqlAlcJ +qv4zuhPw5t02UUgl7+23pOrDA1OtmgVqoiBUeeSyzoVB9A+iPCP2iEL3dMDq +rrRBtLouM+V1UBRinovQTi8OoqmGpRDvMVGo6n9aUrBnCKeKI3XtT4rC8E6P +PpMHQ8htzbYabCsKRYnS9wNrhvAFJeUuv7Mo6P9tyGvgH8bWukH+E1dE4ajK +vt3d1sO41cvOk+IrCvuqtx2gfzeMdxYlHK/eEQWfDZ+CP4PDqHZi+My7h6Lw +eHuPv+mOETT+JC+vGSEKgTqk8ulrI7hd6cbSnVhRyBXUMj+OI6gzoGDxLlEU +bgcKm5qyjOL5jiNB8p+p9h+p7Rep+n9q/f6vszmioPCYJ1EvchTvfNaq3CwQ +hRthCmu72kexT+uA49VyUXhtsFL8R2oM++KdmGLrRWFu/Hm1tPMYvr/F6KvY +Lgo300rsH6aO4aNXpXOX+0Vh9szF3dmLVLu0CIhMiIJwKGcMZc84+iwuiD1f +oN4Xjhe7b43j9uf+G+UbouBl8NE3sHwcWe5f+nqWWQyq5DvY9bgm8JlDwrUM +HjHQv3isqvDUBI7uffW7SVQMPqjsHux/O4FXfQsmz5DFgH23qqPk4AQKv67a ++uo/Mdgsd28W3DGJVnvZiH3qYuA19/amnOck9jdrzX3VEYOBxhyxlpxJfDSU +UdmnJwZt05tcBnRT6NH73zNPIzHY0Hz1vfbQFJ7j3heJFmJgN9Y6KxQ2hWT9 +lLOddmKge/9a0+bfKXxvRqdud4EaH197xQbXNDYF1QfEXBUD8WuXNtt3TmPr +AOOspp8YfBN4oX7g5DS2x05Khd4Tg86Tt74F+k2ji8/h67mPxcDoY+mUeNw0 +1uR3KByOFIO7lrMXdhdOo3vyY6Gnb8SAjc9eQm1oGl9tjbq754MY1H1Qsv7C +OoOc2hreeelUf0dvT9/5bwZjX5mfXcoVg5O2wV2KxjPosnyGIbJQDPwZWy9Z +XZ3BxX5d/akKMXijlphoGzWDRzrXJqWaxOB7VUvy5x8z2FI0EZj5Twz0aOxs +HvybQTfmw4O0Q2KgdsOBW5luFjmfV7hkTVHxDNzz9LTcLLb6XMsnlsXgkx+t +WL3eLL7WPagVSCsOar5vBvhdZvHPrzvramzicP8mV2Pbw1lsZ7hMiuUXh3u3 +nOfPp8+i56Nf+RclqPa1AVvf+lm8x3B6F98WcXj+hdugZm4WX507Mu2jLA4n +xY5b9wnPoWH5N5mDmuJA69Lz2G/3HBo8nVaro6odbwGO+T/Wcyis7duueYR6 +3u6npkXAHE7EHq+gMxOHuvhdm+fj5zAmc4Pv2Rlx2P7XZeuW33OoTtPz5r6j +OCjSRj50GprDH2cLf5q6iUOwosPufuZ5NDkj0T3oLQ7M/n676f6bx+Hj3UWd +t8VhWOchU6LhPEYsinS9CREH171ZS11u8zhVORepEyEObtK7tI+GzWPKlV2H +dGLF4ZSZ6xBkzKNEy5IpS5I4DEmUfMhrmMegi/UrGunU+Ef3ZP+am8fmO18D +IVccTDw+tazwLWDwMckvokXiYPG+sTVFfQFPRbODeaU42PsqXh02W8D4Jmm+ +s83iEH+n+/wTzwVMS6hOqu4SB1tPn7ySiAXkcn+fJTxC3b9TpVfxywLeEf8X +rjEnDu9Sb1oONizgzdOp976uiUNo8ilHzdkFLNnZuoWdWQKOHy8YrOZbxIxD +2UcIXgmYtfAaDVRbxNinSX61YhJwWapCs/P4Il7buLJj3xYJWDe3u+FweRH1 +zK4OM6tIgMvlI94PHy3i+EYIf5qWBFx4/72s7QPVPvEuVO2ABEhfTrqgW7qI +adYn3vMekwCnSs8z5n2LyL/llHijhQR4clTPudAuoSMXpcXjnARw9YYGxEgt +4W2Zt14ulyTgmr1M/q3dSyicE2532FsCSmP5NI1OLmHCe7effgESMH5CO63F +fQm1P9ffiH4kAVe/HhBWf7yEea13p8KjJMBa2+j3uw9LOPvqRXr3WwmoOhbg +2fFrCYcn3d6Lp0mA1g5N3/KOJTSbvKmdlC0B1fXcex8sLOEjkFDkKJSAZPF6 +tOdcRl+7I73nqiRAe5cW0Sy/jC9mpquZWiVg4Lg+67Z9y1h7++TJN30S8OPm +nMzSyWXkW3FQ4ZuSgNZYBYtGt2WcWPz0qJoiAVEP/esS7i0janZW32GUhM4n +3XurXy7jwZ/bIv15JIFuh/qV+fRlTLJ/kWksLgnF57l+6ZQs47PB1jhJBUnw +3ngS/qNtGfvPsRgqq0lCZFRDw9HJZbTgusuts08SHvyJfrxMR8H9C+3uDw0k +IbCcgblQkIIKn8b7PplLQl7s8PhnBQru3l/XVGcnCRFPGJwitCno0LE/WO2S +JKSdWbj02ZCCLy/OR0b4SEL+87nOd9YUpH8y4PLnniTYvGwyTnWj4N59tyS0 +n0qCgarNcyZ/CjLvT6WkvpKEqbCnDQKhFFwKaI6y+CAJXvsjxu+8ouApvp3F +dV8kocJmgJ2UQsFM5vAHxgXU/LWW7hjlUFC9+sNl+kpJoJnsYaIUU1DP1Zsh +r1USxGIu7hSsp6BS7ieNAwOS4PvOctS0k4Jj5Nv8q9OSMLr3yKbSCDW/XIpz +7rokfPuUZ2E2T8EWYZ3ARFYpCDnwdpf8BgUbJ4KyYoSkoP5x4B0FlhVMEegw +spKVgqi7YUrmvCt4uXAgSk9FCuhPx8cYia6g4ryhpJaOFPzi59pqSlrBS3U7 +Es0PS4F3gugz9f+9ny4Oht3mUhDOTBfkoLyC1hrfJ+XOSsEiZ5OTr/oKBr4k +C2i5SgFZszIhdDd1v5OxvOl1KWioktW8uG8FbY/Pp6gFSsH5r+JHgnVXMGz1 +tJ1WuBSYHlX1/6O3ghTevgMH46Qg89xLjU+HV3CAQ0zsWqoUeMqodP8+QrV/ +PTtwPkcK7Hw2Eg4eXcFvAVZNdiVSIJtRqLT/2AoeRBqGG3VSwBy1uR5BXZ81 +qfF52SkFJ+erj/3v/X+tq8TGjTEpYDLb7WtJPd8tJnf61pIUDIhLWfZR7z+B +hPU9Bmm4vqLgl0L17yeydjGZRxqkv4Vf36DG52vn86dGUhroy1lir1Lj1ylW +KshSlIZXZ3vwf/9v+NDf2PddQxqCZW6/aqfm/4y5h4QHpMHkWNYXByo+R07Y +s/Qel4ZPR2Rn0qn4MQe0+hadloZ3ZyaYQ6j4Wm5PCHvrLA2pottzdKj4h7iI +0r6+Jg0Oe45+aaLWx9akm+d5gDR8d9nNokStH62V5OnDodLgZuMWwkit71OY +YBSLkYbEj/x+I9T6O/0ndbgvURr2lfu6OFOfD+szXV1/MqXhdLbnfYZRChqu +hMe4/ZQGhruJBw26qM9f9YNvTBXSkOnAbM/SQMGLUb8K8pulYfCkhSxLKQXP +31t57NQnDZfc9VrP51JwviEnVHlKGjSt446Jp1Lw91ajkIwVaeBMtbEMek1B +Rs9DJ8PoZWCX+IXnGk8oaKNeJWrFKgNSwHLhzG0K/mmK9nvBLQO1Er/epVym +oNt+J6UvgjJAR8e3lGpDwTS1P/9sxGUgU37Rw9CIgkdNKer+JBngSM56tbCH +gpNzFkvKCjIw3bKx/bgiBcs4b/zLU5KBaKPwE3dFKGjSUe6ZpyYDRZqOdR8Y +qPkqi4UaacmAYIBJS9zMMraWK5Qb75OBBraAtWsdyyiY0KugclAG6hajX5BK +lzFP/IjxWwMZ0Kh/xV+cSV2r4suA4zLAwtGwpPhqGbWEtM4umlPvb7W1jXmw +jL+dlX60WMmA+Icmrx/U/pfmvOfKczsZ4BbLulFB7Y+RfDHKI44ycBNrRJlg +GXdvLQ1PuigDZ8aVuDup/fV7oXrzmrsMfG56GDHLtYyfO/Wkd3jJwKW+NxTR +JWq/f/XtYKUfNT7JW1hJ7d9W1hLrNAEykHOVybS96H//J0tSiH0gA4fO+n53 +ovb/R/RD7UKPZOC03c0QZup8UEvVpyiGyQBzV9RDsscS3jWx7E6IlIGR7od7 +PlLnS3XImtL3l1R83VnZfu5ZwmbcvfopTgZUW82kmUhLyKa7yseSKAP33J5k +fKJfQji103UjRQYKrDRgbWgRicSUbot0ajzOZK835YtoFzkfezxLBhhenpRf +TF3EhsK4EYXvMnCRrSQ34ukiisYu0Z5DGVCWUjzsf2URu46yH9Qppua74nZu +p/kifs2uOeJdLgPn90W+VVRfxPd/wrueVcvAQX1OYTcB6nz10A8wbpAB0c2l +qvDFBRQrW6xybZWB3SWn5eaaFjDqnMc25X8yQO6fCoPsBVzQOilU1yMD2Y8k +xmZeLGDKn4z8+kEZqGzOKWnyXkDrVw27fMeoeOWHM/w4tYAusTQpN6dloJrC +1l6pQeUbXtmjDgsyUK9sfFxfcAHn63qyZikyYHpeU7FpYR5HQ3N//9uQgYWn +Kv4hVP5DWj1m5E5Pgq6suvgzX+bRWZTHb4iZBKbTaZASPo+BATU2PzhIILwo +eFn8yjxyleYf0OIlwWeBz445xvP4IGTdjVeIBB4v2uI8t89jfgijZ4QYCZzX +fHOcOOYxhD/6xLoUCVpiPjh1jc0hcLttLZQlAZeynppX2RxuVZ3bqbaVBCFd +Q3bHkubQj3g6sa5EAnPO/4rg7hzabAmjSVclAUvWSE6B7RzWh+wM5dIgQdA8 +wKN9c/gh8lximTYJnv5i0fQQm8Ok+uQeFYIETvvDbn1cnkWJ6S+l/gdIEKjr +0efXMotexfsVtx0mQZ1a7/3zX2bR4xGRGnCUBDuF6AZTw2ZR+0d39C4TEhjf +vy7C6TqLalbJqXUnSPDT//QLVoNZFKJRzJWwIgHjhvCGk8Is6kmf2VtkQ8VH +hDani8rPLeqrtonak4BmwmQir3sG/x3Te/rnPAkyyZGRWVR+z5dhMeVwiQQ6 +9QpXTKj8P0G1w+yzOwmsOo/XnfScwQ8Ke46d8KTi6cr80IeqHx7f1o7+6EuC +Q/fr9zEpzyCJpcJ37CYJokfNxHU4ZlBz9Qtb4B0SSJS+V39C1Sfn4qJNGx6Q +IIHz2jWTkmnszEo75feQBBeThc+pUvWNR7anBudTKr75NC9+UPXPKIuUoHEE +Fa+vzvrZltM4SEOqmHxBApVnTO516tO4K64jfl8sCaayx0Rt+KbxH0N8hM9b +Kn4li/uVe6bw2Okid65EEmQdavbojJpCN4rysxMpJEg3Du/1NJtC70thicuf +SCA3zbxpyDqFrF/buY59IcHYYTddtuJJPOHclPEwmwRNZXqGsrepetHrkol8 +Hgnc6s+88tOYxLwgVdOrBST49bJnOG9mAp+bE2ZiJSTIfWqXszdpAu2sj75I +Lac+v+6nekVtJjBPdt/B6SoSbLdXsu8SncDkAce5J/UksDtb+7y/ZhwLQoLZ +eppJkH++cEdDyDg+lg1ylmgnQfzYsJmk/jjW1N3486WLWq+FbvWk9TE0ebvl ++VofCTYufQwWyx3D9G919m+HSVBoKBZb5TaGhlIffHdMUH8P7V/2dmyh2nm1 +l91nSMDuGWna2DOKiwwl1zkXqfjlJitwvBjFmoZ/jqdXSGDAtu6x3WwUlYzD +TzBukkDU9oRXKMsoHrKWfRRNTwbuUG52QxxBPRX3/7qYqetT8gIz10dw42lZ +9W0OMrhud+po3jGCgtbdbQ08ZHBZUBJNHBvGSLqtyryCZGjt8eqrTRpG221B +91NEyfBqNsd1j80wfp9CvQVJMtgbMLo9ER3GlctGbK/IZPhrtF1NtmYIj2ZE +CW1XIMOBxQeP+B4M4aKM/6PL/5GBoe3AhbH9Q5hz2JSFawcZpK8dlWVYHsTk +LSZT1upk+MEZ5sP0ZRBPWmmQXmiRQe/Vr0iHy4OoCVY2ynvJQBk4PkQnP4hs +qY/ZvfaT4Vv+RdLDngGkT8ipED1EBvesG6zmUQM4JU/bn3SEDJvtdKG+RgPI +v8jqNWREBmYt3ahgtgEUKXmrcteMDF3tO1+0F/Sju8kt11oLMlzruvVb5EY/ +JhYcGPU9Q4Yt7K/PRav244/k0gHOs2Q4OhjN5Dvch3Kikb5mjmR4PfZMVC+h +D8cYw/wXLlDjuX/pl4VVHwZF1SsbuJFBv0+D7ZRgH2ruSLwbeJUMgzuf5uXW +9eL3JLVnZG9qPF+0IpyCetF4Z875y35kyLvwp5ruYC8OrhzSEb1Nhj8Pp0Mn +KT34Tzqb/9M9MoDVbPr+jB481sNbMx9EhpLtxfwPXHswOCmUMfoxGSTN2DtJ +cj0YFPZQeyqMDL8+Sb9P7+rGwp6RxB2RZCCreGmnvOpG44k4hdoYMuwOdGNl +NO/GPI6hfNIbMmRsq3A15+nG3H6F6bp31Hr3dr4WD+1CXfe9JuofyGASEc3n +x9iFQ8bszndSySCeojRQcKsT5RdSZ1UyyMD7L2dpcfIf3r5l9fVJFrVeTabX +xJ3/ofpZ3oTj38ngZdzcdbi5AwWvKAmv/aT65z7j46PfgVpJS9lWRWRY2NQ0 +jyxsR9cXykliZWSwbr1O7ldrx2xnuopHlWT42UaWJqe14fDF/ltjtWSgtX7L +66TYhk5TIgWpTWRQ9wkfZIz9i4IsQUwybWSYO7QrL0yUunby717sJEM3V0bh +64etqLEuYPCjjwyTdhH6LTStOPa4yFl1mAw5r+6OzN1qwUQ4EsMxQQZGo+Xb +5fPNSPfH4mz0DBkeetXQB3g04wObebdHi2QQZuIPlR5uQp/Qpveuq2RQTRS0 +ZLJrwu4e05HNTTKwzr+wK+hpxGquEfIKgyzY/7X8lGDZiCwWagrvWWXh137a +iJPtDTh//FPdXi5Z2Nwzcl3PrgE7HZX+A35ZMN69eSGxvR63GrOFzgrLwg8X +G0W0q8eFrhVGHklZkHhqj/c76rBYgHO7BFkWnsQbueedrkOFdJUrLfKy0PJd +/OyT/lp0uK78cfM/WcgpVDnm6FCLrxqcQpp3yEL91TOFke01eKgSt73cJQu0 +Hg0DfAvV6Gp253W1tiz8zPN5eni9Cl+uyMZ8JmSBtWj4yimRKqS8T5uk0ZMF +i1EhyCZXovOouNqmgSzwRv8prCEqcLvsAakxI1moqwho+2H/B8OSNo8bmMuC +bcJ1nWLvckxNYpYws5SFSIn/jii/LkOJB4dza21kQTCraMQmqxRrf3P/1XGQ +BUang8cGGn5jOe2ogNkFWdCUmeF3YviN4vPT/F1ustBKQ8p7IV+COm0BDgLX +ZCGF1BsXYVWMnjq67zR8ZeHUvRLyvedFGCl/9EatvywAyfGTcFEh/tB/Rcd3 +TxZk+fb9pdAWIubrv6gMlgWZrycZ9NV/4UwFz6drT6jx7lgP53crwFJOlw+F +EbJwZP1ll+4VxKRirksPY2ThvcTm8aNT+RhcXSpJeSMLzNUj+YaheZiq+Hq8 +970sHLf0axoU/oEZ3mST3I+yYMaxVTshPRfzx0Vz1DJk4d2RsLPMF3LwKWP/ +j23Z1HgmIZjgykbHWbvswjxZ0Ij08/Ooz0L4kbvzUKEsTBesWnglfMV7dn0x +h8uoeJxQ1L7v+AUPxX/lGKuShYvzk7OCBzPx8D1GV85GWVAfa3t8iz8DNb1y +CLE2Wejdc501qO8zzukyKJR0yYJAanNXbUsaDtp1McwMUJ8fY2eXq5kf8cW/ +ycHcMWr+nkyt8kXJaBL3VOzijCwcLv32U3MyCR1VwkReL8mC+Iz4H1WGRBTh +eqN7aV0W7v+XaZVzNAGH1cb+5tHLgd6xdt+2u/FYHnvCeoFVDmgKblZptsTh +s+RDRCm3HJD82aeSMl/jhwAlAQkhOWg+8Fr1auxLFOlkNmKVkIMbgU7plT0v +8OfB+52+ZDk45r8jfbtAJE78PVtYu1UOok1eJ/6+EY55n6Mv/FSWgx9Ok8bl +GmHI4pj/UmWXHNSbHeQ/WfsYNcy+ftbYIwdNqPzvQVUwCvClm5H3y8Gd4vzj +dvIPMEKZEhKmLwcms63hYrfvYJut6aHnx+QgSrhv7lKpP/YtHX0hYS4HZIXl +5bU0Hzy7a29WoJUcfLATPE465YG7lO6KPrCTgx30XvTyQ64o+d+HOubzcqD/ +b+js1URHbLJj2SLhKgdxh2+ocx2xwVaux6N8HnKwXmxje7TMDHclU2Ie+cqB +TO5/dw9W6eGfvwJzUbfkwLL/v5aCsZ2YnHz735YHcsC31URIxoAP1wSc+w8+ +ouKZd3TffyOf99mmn96p90wOODzlZJznedHhSIp96Qs5qNT02CyO2YmrcxfW +/76Wg3A3Wb4d8XoY5CUz4PpeDl7rXlW912iGpjHf+Xo/ysEGt3hr0XFbHH9b +KzWYIQcGUQevP1RxwvwSVj+XHDlw3RaoNvjQDV0q6h38f8qBFv2V4erfHij5 +hDJzpVgOFJgfZM0X+KBU/UZ6/x854HJI4DUt88f0B59yB2vlILn+vmParTt4 +iIhgPt8iByvrR/+x73iAJqG7W0v+yYHEUQMhPnIIRnirtH3pk4O2n6v1E6Kh +OFe1N1tqVA4e+Z3TZToThkq1seki03LwpSvd2zI5HPOTwuxCF6l4v1DeXmgc +iSMx5i971uQgVSVscUMgGmsMJsnFdFtgbEh/n331S7Rlpv0mw7oFREJbdu4b +fY1Hv/p84ODeAqsF8aGwGofN/XMG/1exdcdT+UbxiiIKiSJJinuNi7uSyjjJ +iCaJIiMJJTJKRIqipBRKRCoNRSQjKx07Kcree+89LuH3/v58Pu/znvX9nvOc +c9/71AhIQq5Y9dH1AdF4tfb4XiERSaj4mPaN4/hbLGSZsQ9sl4QxXH7ynfM9 +imxhS6WTJcEW1D5VsGLwmOjLdiM5Sdgwc/yiyfRH1A8eeLaeIQmvf3TnrmuL +w51lfFpKeyRBOLcirXpNAka9uVI7qUroM3tQqrA+EQuch0MfaEiC4wH2Fz+E +v+DjN48swnQlIUlf226HVhLu2bN1teJxwh++q9s/WCej0W5hKU1DSeBUTnHX +/5CCqVGJn3pMJMGnWSR5sikVxYYZeufPSoL1He/dshvTcMwt0+OEjSQcdt// +g/dSOtKln+lUX5IEyusrZZiagZKsFO5CZ0lQbDv57dTOLIxT8FwT5CYJqvlF +A0+Cv2Hth77NEzck4dRNqzXOY9monKtJxtuSwNvq/DPYBdGKQ1Jwh78kXEmf +at/snIPDQ90/LQMlIevDqrMbZXKRIiDyZv0TSQjri/uhO52LacaqS8fCCf3m +tEq1r3mo2hzKuRAlCQKuH0WH7+fji7ZYrdtviXibd3/ebV6ADiX1ZW8/SoL4 +ipXTGlKFWLapQlfusyTo1T278nB1ES6sl1pzPEUSbo3xjArUFqGciUOLXoYk +hCzyi9FjfmDUWOmmjO+S4KKbOfEvoBhfU86e/5YvCRaByZMkq5+onBVctfOn +JOgsC1LO6JWgu5aHmVSZJKw41Bp7jfYLBZIa2NZXSgL7v5r0R7y/UZX9s41B +nSRcCOmV42MrxexW9x97myVh5jL3wqe+UtRREiv16ZAEw6l26eXOMuza2dIU +2ysJ980OXac0/0H62pK/LkMEHzp4bjae+4vZMmf3vBiXBG87EQVx4vwWYJHv +285IgsiapQ+dZ8pRsbdwWHBBEka80ldRq4jz/p579o4VJDj7oyg+0rACee1f +QiU7CTZ7f3jCLK/AKukYs461JPD079TnMKrEG60nsip5SCCzVvGVHtF/0DQW +H1/cSAKbyKk/142qsGbRlGYuRAKvc33vZ1qq0JXWojy/lQQNUbvdpM2qMeCZ +nfOEOAl6faov5hH9D2WfgVYZiQSR12KPPnGqwRW7t1P0ZUlw2/uaTuN4DZa5 +W3yiU0lgOiY28OxGLY4E2m7/xiTBY3t5yRii/xJ5u3FWZg8J/t58ZxDrXYdD +veZL0yokOBiXd1Cepx7V9e5ctFMnAVyg95UF1SNPR16SsjYJBpkpS6ztDUi3 +2FA7eYgEGdzR5ewJDSgex5ZueJwEJkFGnxdojXjrED8IniSBUfy7f965jVib +3Lzn1mkSsL/6KdVI9J8zz0SuV5iS4EF6R1L7ryY0vHRH85YlCaYijrDcTZqR +L20otNGasPcaXya2N+PRXR6ngu1IELTFQ/C5SwuKxR5nzl4mwaOBCFGefy34 +tllER+MKCW4ESITy+LTil0dN5UNuJKCUpquVr23Dg09kDmvcIEFImdRI/ZE2 +fPezbdesNwlexUx6fHrWhi4R3r+C/UjAbeT0XKWtDb+yuXC03CdB4Sl64Uqi +v695lf/zziMSlKVkMQOJ/r87M/RBYwgJ7oTf6tdOasd2X8lEkTAStO5uCkuZ +bsdN2gsuaZEk4Mvuq+1V7UBZ2aBEttck6Lybmw63O/Cj9qXnce9IELfHSs+8 +tAPJFzXpKrEkGPIKmJfd1IlLvTte3kwgwaz2fWchYr6BdMMq0WQSpBy5NVD8 +phMPnazhtE8jgaVm7r1QYj5qfMLcKPSNBMmSlw6TGV0IdssS8TkkaHq/75YF +MV89/PPVZrKABPaiEdnJxPz1wPLak6c/ScCin2sqJuaz7X2da/pLSTDTSUmf +OdaNSR8xllRBAt/t7y1LQrpxE6NLM6eGsD+ducW8uRvzsyf3cjeSwO/zY13u +HT1I5y2kJLWSYNcdK6rbpR605U8yO9BFglOV8yz/1B6UC0+b8u0jwTMvt/Ak +Vg+Wd1x8IDFMAgn9jy8jDvRin/eKgCvjJFgqJR2LuNeLK7Lq/yRME3h2dd1U ++92Lv1tBSHOeBP5nDFhvBPuQdGPHzwdLJJC9/mCVmHEf9lyZuEFlI0PgM4ej +xm/7cF9sj/t3DjL4Jd5dv3e4D9nvhrYscpNh72bDU1RaP3q26GuH85FhKn+o +s4eYzx8f+C3UI0CGWvMdC8nE/N6aaTASKEyGdUUz+vu5BpDG5OTesY0MEd5P +RV2I+X9K2vWx9Q4yNMj8c8l6NoAaE2PCHGQyuEZIche0D+AQJ3ndaVkyNNWv +le8kDWL6MX/NpwpkoNx7l//+4iA6uS34yDHJ0MdRGS6TMoj+yRTpa0pkGBC4 +uVAwN4iJlu6VW1XIsL2c7YXYgSG0i91Y+Gk/GVa6Sn/e9mAIFxTjxEY0ybDz +1sBB5t8hPCYw6hWgS4azF12FubYMY+3tJu2Go4R9dXXWM2bDKPX12umNJ8hw +3a6e5270MNoL6zp/MCLD30szBbWDw9jd4jU7YkKGm2xnfqtSR3Broca9IAsy +yH9Wjjx/fQT9eK77d1uRodE/yDMaR/Dfj+qmnRfIsOw3KnabbRQ/3485+N2e +DFp8Vtk7joyizd90eS5nMlS0yZTVBo3ip9EdKkmuZPBQfdmg2zSKHKrWaRoe +ZDiYt5cjkX8MDQU+ZN27SYas9odNBcwxhOMb1kvfIYNk3/t7//++Nfz86Nz1 +e2SgHxgZY3iOYViBpUPaAzJUL7a5rIgaw6hfOReOB5HBa0MY18e8MYwT/7E5 +4ikZJu+vetrQNYayd9x81J+TYXTV5qs9a8exJ5avqCqKDEvNTSw1+XHU3vqy +TugNGT5kOAaIHx/Hc/sLeDJjCPxoKbSGK+Oo6/ZQbv0nMhxOu7hhy7NxpObv +L81OJINtfDCP/Ldx/B0Tl3U6lQxdif6DAW3j2HBgVUJMBhlCt7sIO66awPey +DrknvpNhjZOO+k7yBHY4ak9+ySPDtNLJWhOdCUTTCx8WisigNiHu13RxAsP5 +UlTe/iKDL//RRclHExgUObVi+Q8ZjgZqqE8lTmDPH65DGVVkYBi21PlWT+BX +udxq83oymMt/3HeaNYHuIsWP0pvJoLSJIv9lyyRe2ytQ69RB8MH8fmK+6iQK +sr4aN/eQYYWWp4SxxSQ+mm9W2jVIBpKSowzNexJPJf8rHhklg+EjemH620mU +f7D3mvEUGTayBw8WFE6imrZaqRSL4BOPmrh7/yTa09iUPy6Soc047csXrin0 +F40M2bRKCsS/LKlLyk7hkX2Xp3vXSMGXN9uUmUencPtQuIQdtxQcdsmw+ekw +hZXqkT4n+KTgdTW73mjQFE4xuiukBaVgv7Vuv2bKFPqxWd/8IiwFouSMWMmq +KXTdMTP3cpsURNfoXvGbmcLd67rD1XZKgWLXgytOm6aRo/ZIwW+yFHhuGNv3 +aNc0Sirtts6jSIHjeqVdokbTOLNxs58tTQq0GBr8e12nMeEZuLkpSsHSP5tN +Gc+mkVPt35LrPiko3cAWbZZOrHmsFSRBCtiUrCXDa6axKDiJQ01TCk4e+ZUm +PjuNL3wXt3HqSoHKn20d+wVnsHHHx+nvR6XAll+k9CF9Bp+odB3qPCEFt435 +Avn1Z7BIqKwu9ZQUkA34yhUvz6C9c5rlrKkUJJsdU/EJnMGHOZvDeiyloKq5 ++bdj3AzqvbW/l28jBW+rTvj7/ZjBJv2z5hvspYB/pT1nXPcMvsj+HM3tLAW3 +GqW+e66cReG+VUZu16RgjKdp4qDYLK4Xp8dVekqB5t+TTyjKs/ipT4GtwVsK +eo/qHf5pOIszlON5BnelYO1C8mkNl1kMlHb84f5ACu7OcfJ7PZxFprPFeECQ +FNw3RZOG97MYcozrnMAzKdjgwWiczZ3FbVWq/pqRUvCi5KZCR8MsjnPOXxl5 +LQWW84bfrk7Pop6tGsU3RgqOV+55sYV3DgcefmvL+yQFa2yFMiNJc5jtX0e6 +nkTgbRrxIEZtDj8kHbpRmyYFtRtvfHlmOIcWpmXFn7OJ9f3XFjMOcygdbbnx +ar4UMPaGGJzzm8OlkqrAlmIpcE600fwZMYci69aHFZRJwa9Jm57rSXP4Maqs +9EiVFPTcfGur8GMOeRqNF3LrCfwe3xha3TiHx0K3b09plYKnUy8OrB6bw11u +5+9pdxPy/DQqRdlY6CH6YKXxIOGvlEmd+mYWmp/r8zQalwJSq3y9mwwLH1fb +aUzNEPjHK4+37WPhWXDS2LAoBdPaxtHUoyxM+Hrv5u9V0vDtz0MJNGPhurwj +EY6c0nD04yUuNwcWFn737XzKIw2hh84qT3mxcIVRvq+RgDQIjvw9VfKQhdGN +kXwRW6QhfUH2mNYLFtaTTfrct0uD1Sr7RzJxLDwxcm0/kKRh80GeGbV0FlY+ +OkD2p0iD5s5Ct5pCFvIdUw+2o0tDts38qslyFpq1pnKUK0mDBKdBq3MLC7/N +3fIQVZOGf6Z7IgQHCP84NL6v1JQG/evJFLMpFiqRYywuHJKGc8ODkV1LLCyK +2tttoycNpanzuv/f/7/M8g08ZCQNP+xNX7zmm8fX8byYZCoNkc1KrvuE55Gs +csfk8zlpWDmbIvZp+zzKtl6NULgoDS3Np5OFpOZx1es12k8cpcGdJmT2TX4e +pTqm1zx0lYZC1eDBU//f/ydZaq29IQ2Tlc89fu+Zx/ijR9qEbkvD2iUJG13V +eWzQflYf50/oT9yS5K1OyPt0Mk/8sTRkjooF/NOcx1hn7xHpUGmwM8lPf3tw +Hlc0xnOlRkrDq6v+F9p157Fv6Xx5ebQ08P9bOmV6eB5vLcqe/PtBGjwDeWP/ +v//P2+3O1PssDZ8uVCT+//0/b1/hmGWqNKhL7rzx//d/37ErD2aypMEtcW3q +CeJ9K23noYt50tDhuEUsg5Bv0LH+9uViaZg5dbz9DaH/4hdpoekyaQhzel+e +Tdj39c7oHa5qaYjvrjt9mbD/63X72eUGaXibOf/4NeHfqqyd1lfbpaGmS7W7 +lfDfRtT3yPVeaTi9xeBrHRGfVAkpk6VhaZDteTqbQ8RP7djE2w1ThP7RMCVX +Ir7MgcnV7PPSYLNJJSFTfB69OAM1rqyQAR7NSfbfBD5fl66/cVkjA9pZlHel +G+Zx65PD5aPrZCBs7YGFmwS+hz+T+nU2ykDhayeudAJ/c0cagyEsA97xCXHs +0yxU9KrKeCYmA/Wdgs2t/QT/HUyuBEjKwLatEu4dBL8MtXJFTGVlQCei0+tx +JcGn3ZNGH2gy4KXCnfqpiIUs7dU7b++WgWHZ8bmNmSyUS9GUqVGRgXV2rP1/ +CH632Cn3r9aQgaPWT5rkCf477/AoLNSRgbzgHt6lQBZGTQsvLRwj7NETvbTq +JgsH7/BF5J2UgRt+JY/9L7MwoC7B09pEBiSOmJk1mrNwrmB3jddZGYh7tjNL +k8hP6se1l6RsZIC/Q+2soQoL98rWT2nYy4CfKiXYlsjvw0Zr5SadZSBbougk +U4iFXk5Pbnm5yQAlwEikZTUL30Z8/XPdSwY0T5EjL4/P4aOrv8bX3pGBDFpc +UXPzHG7QqvTivC8DR+bII9uK51CU9ZHS+UgGwvdXWCgT9clHPGa1/lMZWKuw +I+30izmUijmmKx8hA4u08DE6Ud8CJYWcX76SgXGJOy+3Oc6hysn90iPvZMD1 +wMdsbuM53E/ZYvMhTgZamxTEXu2fw+jOTgFWogxUVkUX2sjMIRdVvST5qwzs +bLflL+KbQ7uvaucPfZMBR6ciEfLsLFpzy5M8cmVA4cj7r40tszjaYym+8YcM +/DzsoiFYMItW5/jkNX7LQMH0d7Pk2FnsL9vE11QuAyW1IaeKA2dR/bf5K71a +GbgzoR094zyLTp4kMcsmGXhcuNk15tQs8ks9r6xtl4HRqzJPcvYR51OIk2t/ +jwxE9i6P2W2fxSSFT0UNgzIQf1Nh3fHVsyhRk1CtMC4DLRoThY96Z/DiJ87k +HTMy8PSLqITnrxn8lqlAcluQgfIz6skZn2bQqctBIn6FLOzNmFxiEOdnT+Tt +Ru/VslBK/8dxxWkGF30y2tK4ZEF1cF/7vN4M3uDxehvIKwvBetf8DZgzGMq4 +sqQlIAum5IItqzfP4Psfa+6aC8uC8N7s15Mz03ikJfPVlm2ykFPn6V5SN43u +JTyrd+2UhRnTW8O1adP4fV/awgRZFujPL+nWhxFr+YzMEIosTB66cWj/9WnM +oFq2BtNkoS85ZFf9qWl01Bwy2K0oC4qr7Tqv7ZnGkkMrPPbsk4WDmRW9sHka +a5L2fdoGshBu6mN2dXoKk3O8O2M1ZKFgtwc5r3oK57pNxJ/oyILflVMhzOQp +HLMWPSZ5VBZURHRuFQdPIT6qNInQlwWvC2aaDc5TOOPwZ8jeSBa0U39I8Byf +whwho/F+E1mIefqGx1mB6Mdu1fHnWcgCO4YLTnNP4ej223dunpeFD/+2/5vv +m0Rjjuja3gvEfg9lKdXiSWwrcV2Mc5AF3nduT6OI/nDxsEeRiIssFE3HSR64 +PYnK4Y9IvddkgZbUfoTNfBIvyOuKhXvKQoKFgo7+vknczJYwPntLFpQ11gqO +CU9iYAvn/iRfWSBNLc9/mJ7ACtNR7i33ZYFPw5bytXICpTynky8EykJ/ZKSC +adIEJmd+KxcIkQX3/gBdscAJ3PjH1cThmSycbhSIVbk0gSkjzGM7I2WhZOR4 +o6v2BJ579yks55Us1N8TnzqycwKvSWmJrH8nCx3DN1feWzmB60xHhFI/EvG3 +cvFrbhrH1RXzX9clyMLsEO/J8MxxVPVXu34kSRY2xX36zgobx89p2/70f5WF +5R+vrVyJ+UCrR0RfMUsW3lzxazTVH0c7NkWnFpSFn9fm+87KjaP1hgfrHAtk +oWyQYrKemD/kt3/STCqWhQtfaVLk3jF0TRfYfbxUFh45jzqbEfMLnYt/Iapc +FqS3fMpb/WoMy/r8fHVqZGF60ont1/UxvEWZZzU3yELc+RSxfYbE/HNS2Gdn +qyxEF7gdFiXmJxGOoL6CTlnYpv5ZZhvvGDo0Ctzc3CcLJib0+Z31o7g+Ytce +g6H/7WmZsHo6isGmNzqGxmTBJkTmTNehUVx401OvOC0L+9fOPTrEMYpSz/mL +m1iywHFKJ2H82wiG7PcbvbRE5BtHiMeEywg+MHK/mrCKAo75Z4SbFEZQiG4w +f4iDAvUG/BKre4aR00e68zk3BQK+Lxlufj1MzHNefFW8FJjmtR+6YzqMxVzu +cEGAAq6PX8vQBYYx6yV/3WchCgg5nvpV/GcIP8iouuiLUoBb4uXOl75DGPyr +wfW9OAX2ed8dNIMh7Cu8l9slSYETQtxq1/8NoimvIt9NGQr4Zk8er04axGtl +kT9K5Clwmou9VthhEC3Y+G47MygwU3xoa9TOQaxoifdlV6JA9Y38kRsNA9gf +2zimrUyBnUvLStqhA3iK182iFyhAkZNVPn54AHW7XyspaVJglDH5VZ1jAK/N +zRq56FBAMZIdH+f34/a2Ux5cRymw5gzDb9v1fjSWvMZxQp8COfuLc5/u6kej +jW+ezRpSwDI/5tvhwT5cH7Ps4GdCAXv5zZrm0X24t1Hic5E5BSKGX6mbmPVh +08+rk5ZWFFhhZbjymUAfhmfnv0q0JeLlfknp259erG5K0ze2p8Dn0yeVxH17 +cWt03+ERJwqcZwbWtO/rxcfZx74wXClQ1/ptxYPZHjz8ZqVo9XUKBCVzbnON +70G9+3umyTcpIDGsOaRjS6wzYMv52xS4cWv5tbtED4aqrji6dJcCXV9Scvsb +upH2I2Xq4AMKfIk3YB0I7cZCTuOU0ccUeFvvr9p6qBtfHWwvv/WUAjtkgjxr +V3VjYJGwQ344BdjPeAVmfu9C6RPTypZRFEhNe6XTdqULSyLaQ5OiKeC+euvL +cYUuXFcpYDP8ngJ/nmZcOTvUias/1YXciaNAfo/ik01vOjH//JhF6WcKlKjz +v00368Rd23xTnVMocPFZ8udogU78om2KP9MpEB9Utnj9TwcWW5V6cmdToKxA +60NqQAeuLzbZGJtLgV99oi4q6h3YfJDHc76QAnmWZ41SF9sxQnuR60MJwb/J +MuWbye14vTIrBf5QYHnwGnfSxXZMUc08ElBJgStLK+pqSO24tqvjCaOO4IO2 +0VHD5jYk88n1PGyiwJCo0N2fYW249cbPu5VtFPB5PCX/8WQbavgwblzrpsBG ++wzyyLo2zFraHVzaT4HaKyq1x4NbMeqE6EOfEQpoyU3PRC+3oE3Wo9s7Jwl7 +E+45d11uQYWSA29dZymwbcUpF/6BZuTJN3gq/Y8Cgxnz48qnm9GyMiUvcIUc +FH6xbDasaMLhJym8bexyMLA1buz80SasXM9SDF4rB2Wz5opPsRFtQ3QDZtbL +wVzcyW3JexsRU+UivvHLAZ1Nd5Q3rgGfjic0MjfLQVfe1PIZyQbc8ffW73AR +OWBrl65Nia7H20/48s9ulwMBdfef7oL1WJR4NrlRQg5OLXMNSAfXYbl6S1aG +tBxUjUcecGCvQ4eDYdd85eXgZEKVfpd7LcKwZ+UqhhzUvA1xUWbVYOaT6PLu +3XKgErG4/pxDDdIGow5eV5aDwX0Ppm2Hq/HVzD2JfyAH+3fOc0Rcrsb96UeU +uzXl4PLy4NmG3iqMi3RSvqkrB8vWdKf+C1VYYK9T5H1MDshabcp7Oyrxxwfx +XGcDwr/EgXU9ppV44d7H/o2n5YDxLvXZ4c4KzMh7ZyVmJgcrj5eeBPMKdBLW +SWywlIMzXaoz3V3l+Pvf+8yVtnKg5CXx0vBsOR5W9ffdbC8HEkpxvirtf/Ht +HQHpJifi/TmHnRkX/6If+YQzzzU5OG9pavy55g+yNvUKjnrIgVjzOal/A2UY +VQte2bfk4IXQzM5Va8rwu/BHxwVfOZga0xHm4CvFeY7BkJ77ciBknnKkgvIb +91qLF6s/lgOO3BWOint+oc2Xls8Pn8qB1a/NtNLDJRjsp3P1znM5qFAi81Q6 +/8T27NMHRl7KAXzRrzvoX4wm2hUqbO/kIEfB/dDqpB94MFfw/upYOdDKd3z6 +pr0IazgVCj0/y4HC3R27g1YVYVv6888vUuRg5L3ewpldhZiQUbtuV6YchK9u +fUQ1LsAVK00OXkU5iP50ojXhcT6684WYRBfIgeT8yifksjz0ph1N0S6Rg+Ej +QQdfLuXi9xWCKk/+yIFgoJuNOuRixY8CD+NqOdBnFzH8fDUHy9LthXka5YDL +e9RD+zzi9fZ5QZs2OfDh3C9lueI73qmqdNvXIwc2J19UU32/oViwl2rKoBw8 +3vpuMUomCxMCiy4JjctB9zoTibnmDDz7dOkOa0YO+uUoGlI30vGyJ3XbjX9y +sCppW0LMvjT0sFrx12uVPKj9Kw3P6k3Fnx9reS5xyoOBwvabZdEpaN9kWc9a +Lw8nXoXkpXkkI0/sWTYOAXkIlDnG6amRhAu6qh+TheVBo1HN11DmC1olX4xp +E5OHjQ8M1jSvTESVtx61s5LysHMhod71bzweeuDw8JOsPKSt87TirIpDmaP7 +nnTQ5IF5VVD9FX7ES/2FvKm75YFeLsdl0BaDoNYXeVlFHpqcR2t0RN6jFf97 +1U8H5GFOq3Zbo/pb5Fut631bRx5+rgj4PRYRjcNHtl1uPiYPKX4jS6e6X6HQ +lsjFbYaEP/Eh1qlPo9DBOOA8y0QevlNF2FZ/i8AV/snDhpbycEfWUu/07zBk +5+Y6fdhWHmq8x10WGKGoqPnIjewgD3/3HPtc8ysEB+nyS75X5OGm/+vev4ZB +2JPR03D9ujxsvcfGw7QMxHV7UW/yljw4VNanV3Dfx2vnp/4cvysPRXbtogZb +/dDQ+dSuAw/lAU7LbH+X6YM18knyGSHyIB5tJrwi1ws9LT0P5ofLg9Xf3dUb +NrujlsHt4eOv5OHy2NWDTeEuuKOkVzDvvTz0rti+5vs3e4zsPyFX9EkeJpX7 +A0QErTH2QfuNI8nycPR+eIiXuBk2rxTcbJMhD9NGy5/POJ5A9pPqPZYoD8lP +ap9OXdbC8C23XdsL5UHxXrz6SUMGKt4Nshn/JQ/O2hf+9W8WQJn02pTbFfKw +nW/HlpH0b6ql2Q0HZ+uIeNnrPGZZCaKuuqDnQos8ULjfh82WMzBk4Km2d7c8 +dGyf8dvcqoXrzZw1nw0S/jjOvEzoOIG+7R8+PxyXh/mbIj/+XDTDF4/nfrHP +yYOsnYmBsbw11p8rfsW9RMi/cMGgrNkeFUVjbIPZFWClxg1qZ7YLzvxTivrA +pQB1BdEFKdVuGH19RiuKTwHof9tDvay8sFr6RSr/ZgUoy3qRn+jngwtJ7sab +RBWgME7Tdm7YF4taIn8/26kAaY9Smu6M+aPtl66AWWkFWO/jwXmDEYir4oVc +exQUYExXg/FiXxD+/rYyVU9RAf76l54vTg7B3/rVfIeVFWDCM9j0AzkU+d/z +v5BQVwDq59v3zyWFodsPweFrBxXgwchgbVxEBMqxxYYaH1UAv+16OiXXovDl +0cxvXw0UgLXa4ldw0yu0Oa3cMmqsAL+5MkQ++Ecj55hhcbqFAkQ0ct8Jl32L +R8SKzFnWCnBGKjd5J/t7ZLStm/55SQG+V/GIkv/E4KHDvj/4XBRA/q+9YX/l +RwzekjnLcFeAgnj7lRkdcXjVzKCr14vQf+4J/VJrPOq0Vwjx+SrA/NVrIgXr +EvGWao/dz/sKMC61bzRQ7AueMEpvNX+sAI5X6sRnmUnY/Of8B9tQBdhnkTyQ +dzEZ7wgYh7JFKkDL5JNJwScpuPfJoi5vtALwvHHcSm5NRe/5t5tnYxTgypb5 +5kVKGuHfN03neCI+ff7sOy6lo72krNKZZAWYOq0+71+WgVkhPYyGdAXo4Zvg +2iiehUP08Ge7vivAuRXpeZxXvqFRxnT5Yj6B/5b2zFeD2Sg3zv3A5KcCJOjG +zqjrIQ4FZ4RK/FGAIOXNL4475KBSI9vY7SpCnpmPhotMLv7qvSOZW68ALwTD +ayR7cnHXslOtTasCiMy+Dj2UmocbL2f5vu9SgJSPSh973PNR6eEFtSMDCuCV +Z+tir1+AJyt7DpePKsCoGPO3k0wh7shwIY1OKUBfjqrn5Ewhvjkxe8plXgGu +ndHja60twiUxBb3gZQViHnzYXPjpB6rmhmkfXEWFQ1u2d0neKkZOXv1SFjsV +DtT1/jW1/YmJHU1v3DmoYPbrLKYcKMEp4y4NWS4qPI8/jSrMX3j2vIbD73VU +KDlX8Ft852/0W97aosxLBQ0lp1f67KXofNP5QssGKnA8TjvMt1CKe9YVZx8T +oMKW12rr4zvL8Pzdh6++bKJC22nSvZ8//+DO7lXsGsJUUE5RvdFm+RfX3Xu+ +NUaECjU6riSn+r/ISpndu3cbFT46xUXxmpRjdkDo46jtVGj6Vrafv7ocw9v9 +uKZ2UOHNYK5dyJEKnEh5zu0uSYUT5kOhUF6BR1i95L9kKthd/SS56kglxnod +tjGUoULpeEoDZ1UlHvAy6i6lUMG2Tdne43QVMpsumQspUMHkm1NzWk0Vrlsd +9zyIRoWbdfTAY+bVKOWho9vCoMK/0DLq/dZqnJZKkBJVpMLnhUsRHaY16NOe +cP2+EhUaj/Jeiemtwbdbl7l+76VCMvfE+nLbWtx7NLV8nwoVppvIt65O16L+ +WNL8CzVi/z2bkp236pDPPGtH9X4qpH33L1hcWY+ykuXH92oQ+3/sizrzqB7P +yVzouqJFBa0RAZefGxvw2fSKU80HiXjYKxfkv2nAlStJtUqHqHDlnKjKU1oj ++jI2nbt4hAqZ1xklml8b8W45NePnMSq8/BBTcFerCUO7ul2W9agQP5Tw9nFp +E5plLvdLGRB4rbutAPrNaPtJYfstQyrcplUH+XQ044u2z2oJp6iQveWknO2F +Fmw+GyLAZUKFrZ3NVR0LLdh291nnaVMqjCku40RAKzrdCuX1NqfCoDVH0oG1 +begvbTBdfZYKk2m2iUb6bXhz+ZvzKisqLP/9cP59aBvGcDEtdlpTgW/dSpPE ++jb8UKbA52xLBZWOyhSfHe3ou7ApNuwiFXrZTij4Wrejlvjo2uFLVJh33RJ4 +LLEdv4Y33RC+TAVBS4E4jel2fHn/RcJeJypcV9KjDCp1YFllW5K/CxVIzZyl +Rj4dmPS6Y9WXq1QI2z4m2lfUgXxcR+XWuFFBvHo5VmVDJ564wbnn+HUq7JTy +W1Az7sSHJvsznT2p8NRobYZFVCduOLJ3Z74XwWdG6SrNvk6Ue/BUYOgWsR6S +MdaU68I1wjfWc92mAvNk4fthxy6kLkfNnfSlwq3zxvKnMrvQs0nH+/pdKsRF +1mxtWurCjrQNK0v9qaA5cO+juE43co99eibwgArpFe771wd348bkJ3XMQCr0 +nDwXw1fTjb+abm+6/Zjgd9j8cJVYD+o/u8oWG0yFD5opdolWPfjuWsLo0hMq +SO3Z6nP4cw92r92yePAZFWjPY40ezvXgF7ej2XbhVPAKezjYpdyLhS9Kvb5F +UGGfTmztP79elJDZOtX9gtifr3NW9ncvOsSlPWB/RYXAA4XWS7x9eFZ0L1kv +mgoVMROJQaf7MNKa29X1LRXulqbc2xfVh/YtTUU/31OBa8PK6Hd9fejZsn8f +/0cq6OcYyqfQ+nGFJpsVI44KEgaPtOqv9qPhu5JAn3gqbIgKe/kd+3H+3Kh9 +7GcqnC8/fyeTfQBnV8Q6/vhCBYG48UbjgwO44vvFq/wpBL+u6FzJChpAnkP+ +8opfqRAwZhhGrxlAL66E/rvpVBDhk1+8sH0QlRaop5MyiXzNSBhOOz+IahyH +hsq/UWHIruh47MdBpDs4eIshFXIS1afOTA7iSfeZEs1cKhTveL6DpDSEirue +bHqeTwVJ+1+PPvsMoeDYgG9nIRWO6xjZNf8awgLvxo+ri4n6srD5lzjfMB51 +N/97soSoh7ULp0nGw7jyw0Kc128qHLY4aLr/5TB+k7X8FFlG+HO24NOKzmHM +OEj90veXCoVCTWM3KSMomD95aX0lkR+fU+0FnUYwgMZBtagm8iNvi8/FrBFM +rJrZ+qGWCmoStnCOfRSlNtQVFNcT9RBb0pwOjmI++bjn5iYqGMw1ZqsGj2Jl +r9KkagtRH8wnh7bXEs/fz0aatBH6JSmV+uvHcNfKeav3HVTQ3TEfMEkbQyG+ +NdM/u6jAohgf22Ywhi5TB5JFeqnwaIXSZZL7GBZkyatr9VMhcXMmT3rEGLYY +7tpqPUiFS4MH7MOzx7CHnVsjZZgKnG6VsoYdY+gRPajTOErgVRIbFME+jsdC +/b9RJ6jwOtHPKlN6HDO+rn7nMUWF1qPSB0hHx/FBD/n6yxkqXPvyvl7YcRxb +r1lNjc9R4eJ9vkOdT8ZxeK+9regCwRe3lOeMtHFUk352SXWRCr43JA/8qRvH +uDPOZwKXCT6rRWsoL45j6MtB/bSVNLD0dtZSEJtA2mXBK7zsNNjqlxgyemAC +BSI+OpmuocEqdabWjM0EVu8s9rrDSYMfAQ5Hffwn0NK4WLSRiwYzW/70VcVP +4PKCVi3Xehr8EWrj+vRnAgt/V16/yEuDEh6FsQNTE1jirXssZwMNboCVx1ah +STzf16A8upEGhzbtuOi+ZxLX2B6IOrqJBkq5fY0PTSfRJ3vHtrtCNGjX0xEj +3ZxEOeqL+tQtNNj5SKl78eUkGle/eC0oSoOfbb2JPvmTaDT07OpRMRp051wU +fNA1iZObiqrTxWlQLX8oeA/nFObEx4wIS9CgQ0TCUVR2CklLVuMnSDSYf6Ma +dPfQFC7ciN+bI0WDD09fGN1zmEKhAA2L1bI08J80yuV9NIXGTz137pejgVHi +zKGyhClcLuod+6xAA/tnu9oEyqdwce6S2SyNBl53iw90j01hm6aAqzOTBr9w +0zXaxmkMmk7nK1KkASlCNY6TMY3Mc8nufHtocMdL33+D/jSqlfFbP9pHg/uf +CyvvuEyjyCk3jx4VGnR+/bbtXvA0Bur9LXAGGliYa5/qTZ5Gz85dljPqNLg4 +cPGzXdU0brfgydDTJOwNZbr4TUyjjDEPvVObBvm+T1z6+Gfwqpss5bAuDa4+ +OhDbSZ3B03+VuD4dJuwXSXm09ugMlmmEzqkfI/Dlba22sJ9B5lq51kQ9Gqyu +zY86fX8GT+jmhRoa0KD0THqY08cZpPImxE8a0uCgoGzCux8z2Pb36zab0zTY +ILiF6ts5g0/Ek002nqGBVn29+f/3/2tFKl89NSPwvCd1b3nrLE4HCLjpnaVB +2c9zAb57Z/Fh6lgkuxUN9vwMuituNIudL0X4HlrTwEFKs97daRZJLwz5jl+g +wfPoB+T3D2fxNlfcv147GnAKhUY/iZnFZ1eeObs60OBFfV3O25xZ3HfhkBU4 +0UD5Cxu/XeMsWsLRKy0uNDgmraa7amoWfUNsstNdaUDr/8vczTOHwllfW/zd +aSDoePu4J3kOD9p1qMh70uC7RYrxG9U5nOUUTOe9SYNBtcVFLaM5VL64czbf +mwbRb27MV9nP4Yhq2FaHOzQYnrZduH17DpXsc2QM79Ig4HyfZODzOYzPeL6B +7z4NyC9Hz4Z+nsM3/a3enA8JPL/sud1SOIdbCsNnZx7RIE+YFxQb59DPnPfo +12Aifra1R6NH5vAvd11rylMayMQ8PyfDxsLfQ0O/bofRwPxC56YKQRYqPGD3 +CI6gwbYr3WR3aRY6jeY+CI2iwZvVbDSaMguNyi7/u/CaBor0ZpMdR1i494RL +1o23NGiSXPo+bMbCr2s8jlnE0EB9bcPJZQcWahQ+ZO6OpYGevc/yPi8W7md1 +NRyNp0FDY5alfCALp815bZiJNNg/9P3d//f/15y+v84kmQbBe55yXo5jYY94 +UdXVrzToyUxUv5bBwqvm4c9MM2hwbaTGcLmQhQXqJd/9vtHAJMx47XwFC6Vu +HTvriYQ9t7p47rSwcDNzo9T5PBoUiqhVHOxnYSu0+T4qpMHNiKBskykWqs7E +BvoW04Ciu05DdImFq2bqDLJ/EXj//mXAyzmP2inxejllxH6XJ69y+OZxfU0D +ppbTQMqoSi1NaB4va7yYHaoi+OXXsipz+zxaOnz/PFJLAwa3eHckeR5L1t7e +Q2+kgWGsyX4H+XlUr3etsmmhwYlvFbfcmPO42OqmY9NOg38q+oq+e+ZxYcLO +9UMXDTztTjqYqM4j3VVrZ3IvDYYomKqpPo+R75MonwdoMHUAeB9oziNTeSZn +aJgGWZvUlq8dnMeWQp8Tk2NE/iowNj3XJeznea+7b4oG3hcemv1////QdJHs +lVmiPmrx0dSOzGN34t7Iq/M0mLWOORNCrHVW39qWvkjUV7UEOESsr0temMhb +QQdBle3+DsT7NQ83P+Fhp4NUzvjuOkI+n1C5my4HHcZlpne9IvTTBNdYHuWi +Q2nGC71Zwj65yeFXwevp8H6e/tSRsD9FpTUtio8Ol0M2HAog/Bucfp3+fCMd +JP0156T2zqPmVje/mk3E+9yVWEjER1B1clWDMB0uDHxfSVaYx12i1xu3itLh ++N5H6Rf//3+FyB+eY9vpMOp9VZEsPo9fLfqbDu6kg/nmjwr/f/9XE2We8ibR +4aRK4n3qhnkccXtn7i1NhxmLy7OPCHyDHUzfOlLo8On71KmHyyykcy3Uv1Cg +Q0WZQKDUNMGn4StxwXQ6LOm4yHYMsPC+UnBlwS46kFTfmW5rZeHDCPbI70p0 +WNXcPRxQSeSPx12dmH10uPmDGRbzg4VChaJXfqvS4c9tq2LJTBYe4TjnkrGf +DiuEXIVYn1i4e261WocGHbTUa9gFo1h4Li7DgKVNhzi/Bv06Ij+a1NcE1unS +4dyZbY6Ct1j43VH55cQROgQ578rwvMxC95/fFqqO0yF/fI+gk/n/3/MNxt+d +oIOcYMQpxjEWjuoe+5loSAeu2piPbCosFJDebXHnNB0+sEk/vyPLwk7FhwJB +Z+igUuc5828zC7HBqzbAnA7cAT5Nauws5G1K7T1mSYdZLmcDo/E5PHZ2eOPh +83SYVqy9f7hpDiP8Veo32NLhx1SnrnTxHMZxzqoXXaTDCIpcnkmew9CovD9f +7OnQ3nBx6/0Xc2js3xZt40gH8sj3g+R7c2gUY1F5woUOruVFR90c59CnaPgj +lyuB/03Xk92n5zApUeR4ohsdBLIO2hsdmMN9h7acC/Cgg0Z83JkLMnNIVj/V +R/OiQ+BoQlsaP1FfqwO3rvemQx2pd0vo3Cy6cQf+rblNBzMXGp9HyyxevvCq +5pIfHXSeCT75VjiLqtcjPyj608FngprhGTuL3RFUzdIAOliXKu6LfTyLxefr +9U4E0mH344lNK67NYsqHfON1QXQQ49R8U2g8i5ySYkIhIXRYpN3ZJQizuCXn +pdzhUCLePCpaxTtmsak0N3AqjA7ZPSvJMatnsbnAIMwugg6PnC2CZQdmUCPj +h5pAFB0MjrBv4Pg9g5PWG/kfvKKDg/yuq6GfZ5D/w/lx5huC30/JHc6PifP3 +lX5i6Ts67Ddee+uR0wyWv/74SPEDHajhnFFuBjN4z/p4859YOjj62ja+Zc6g +6+bTZXvi6RBM1r0Yu2kGF/+2vH3ymQ7dPMrVa1nTaF1yxVwsiQ4HtdQm39RN +456+mHceKXTIkJy1p2ROo53+EUuONDoULWx40Rc2jT/mJjfdyaBD5xrKLja3 +aSxrNlOpzCL8fXa4U+z0NMaJfrXT+06H2mO23Rd2T6PO03K/6Bw6PN8pp5uz +eRqD//U96s6jw8WS9Zn+c1O4i39Cy6iQwONR8nmPmincHf03K/IHHV46Sa65 +/5Xol8oinvGV0KFsL/PNt5ApDPUfeHvqNx3+tY3KCLoQ+7clq4SU0cGYU754 +WH8KX/7lXfXvLx3UtLYq9SpMoZPe6a97KumwVf/JZwHeKdwwz23zrpoOw3mn +1S0GJ9Fwk0ULWx0dwlTLV9GKJ7ErVWdudwNRj178C1KPmUQ3r4KR8CYCn11Z +SY63J7Goj9FT3kLgt89FvefsJEYE7z672EYH+WP62iMwiRr/7rw37KQD/yY9 +Hn7RSXw8ddTLr5sOtu6ezLsLE3hgpoq3q5cOj13M9myuncBtW9ee3zVAh9Vr +I7RUkyfQwyi+1HSIDkbc3scwaALTJPePJo/Qwao1zNTEfgIv+JpZt48R+km3 +8k4emsAAyzfBypNEfTIxXm1HmkBD1f7FgGmi3n2/6S22agL9OLvFkmfpwMrt +jlZqG8fY80orOefpILz1u5l91jhOc7J+Mv/RISroV/va8HHkO/2RYbhE1Jt+ +vcFD14h+/9e47bsVDHC5w3Y2Vn8c61YX/fi9igEkz9tVV6jjqMG2e058NQNm +fJ4Ln+EeRyWm+KQdBwOsNMcCBnvG8PyLD7qBaxmg2pSrOFAwht+tBH1auBkw +eOts5JpXY9hgJCHPwcMA2VfJ2SE3xtAzu7BKgo8BzQItG3+bjOHsgJONIz8D +9uUL9u/YPYYbSrLvPxFggD8W7RsSGEMxWsPLnk0MyGhNq/rQOooOjz/mywkz +gO294o6K0FHcZmF1/4gIA95cME3t0B/FmP4i3QhRBozz/VygrR3F7YcYqbli +DFja02V3oWAESdbhWzftYMCdr5GsVvcR1K08H20uwYCc7CiHL9QR7OWcr/Mm +MeCUDanbbmgY+7CAt0KKAWOkkbbz0cP4mfcUa16GAUPVRtt0TIcxS/zLamE5 +Qt7d5bK7wsO41TRy43kFwj6ZM9Q1f4fQyjSy2p/GgFS39n/2AUPIfHErvInB +gJRGszuC+4ewdGnoloQiA07mnn8mNDeI19O+bNVUYoBvB8e21SmDSL7kkRmy +lwHpNzLO0S4O4l+fN+uylBlwKPqHqLbkIJo+POtTq8qAmp9i59PbB9D3NP+8 ++H4GuLpoOFwIG8ACpx0kzQMMoLiGDrIZDGAgm7NBqCbxfCJre8vaATQ2nlPM +0WZAlInQn9cF/fgvRUClVYcBL0szuXJv9eOfot2alMMMOL3r7ertu/vRQDJj +pf5RBmy61XvFdqIPb3Or57w7zoCFPwFvxmL68HuUdfSIPgOox2Qtqsz6kD9l +WonvJAPUzOKCX2/pw0tD8eXmRgx43oL3Ev72YuvtFWL3TjPgSFDi/NuAXlTg +NHz8zoQBr13vcMxq92JT8HP2cVPCP+OPY/eWevBgn6m8oAUD7ikpObNn9uBx +zgdnbCwZkOkXtIyXe/Br6LUvCVYMWPy4/WYtqQc/pJz+XmbNgPuFm3rqOrrx +7omwqq0XCH5sEJ7dEt6NXrTedwfsGPChp0hf26Abq0sm/MLsGSBqGyuRurYb +maKT4R2XGTASzTp2K6cLr5cuOqx2ZoDe4vN3ip5duD5n+znDKwxQlI8ypdK7 +sLRq0/ZbrgxQsU8T3jTciVkLrK0v3Rhg8C5v1PhjJ556tVtv6DohP16M0WPe +iWEaosb8Nwg8FytnzUQ6scerJsn6JhGPTadUxMs7UM7VwyHRm4j37C127Xsd +GJ//el3lbcKf2GWeMxodyMXBJy3hxwCnbXuu5cy3Y4mPP/PoPSIfOujZgl/b +kcuuKsv+PgMEiyRSyp3bsZx5eDHrAQP6c/Tedkm3Y43k932dgQzg7E7UGuhu +wxhVp4t7ghjwMWfj7yORbSi9suGNbQgDnoX51r4zasOGV+eCHj4l8F1u7rAT +aMP7/puL258x4Om2wjDFZ634ulNpI+9zBuxiBJ7y5m3Fm9HB03aRDAhs3C+b +7NmCN+JMer5HMWDtlfCmuqFm5DSbGxl4xYCWu4rsQ9bNuFiwklv7DQPkR27I +cdQ0IbOWR9vzHQPoE8ee8h9rwtqEmtcxMUS9Efhls/lXIzIc0yPYYgk8WfSV +usqNuO3fUqzSJwbcPJ3saJfWgN3DKn+iEhjgEaPI1UhuQKpUuv9CIgOCe0O4 +rSPqsWe/3H5aMgO+iSxebBSqx8ZkzW9hqQyocGMe/htQh/cWTlLq04h4xhvL +feasw5MrYeRQJgOCPjk+UvSoRfavPJGp3xiwmd2QbWasBt9a+K+b+07sP/Fu +21PHGjzAmRZun8uAvPiAq8I91fjSPr8iK5/gG8Wf6/r5apwsMCpZUcSAOBXo +5e6rwv2G1xLdi4n45OjpFFhUocVmiamSEqJ+xDk2andWouPMpvLjpQT/dfLt +pc5Uotqg8GTpHwZ82RPhcLGuAl+Is3h2VjAgYZlj3VmzCsy0ifwUX8UAGWWz +6lWN5ShgTuPnq2WA10vOF8fOluP7YL3TF+oZoKlB/Tgw8Bc1v0qtYzUS/kSp +PL9t9xff7IzmtGhhQL6RoNaJwT/4voNLfqmN4NPY5JzESBmGZ1omh3QyoHox +4zjbXCkxb2qNLnczQFlX0i5ZoBRLPIcOv+1jwHleE2bc9t+YKnhEUGyQAVuO +p3WfUPuF/05ZFFQMM8Dzem9Px5ESfD5a/PvGGANMwpfLEs/9xDWOMY+XJgi+ +3TB7UBVQjN/oN3dnTDPgb1zEm6y3PzDlda6KzhwD1hz8y1/2pwjXP87t/jPP +gMdbn98rWVmEpj6Uc0GLxHm24HzjsmQh7lCt9iCvYMKeYecfFcYFuGw5KsNa +xYQLv7tvR/jk4ydGd2XuaiacGL77tvZLHnLccQIzTiaYP84JOTGRiyJlQXK7 +uZkwU+rbvouUi8xXFhc71zPhPEN1VNguBzPFHmT48jGBYrt/acAecc3yiMX5 +jUwYKd37xm0kGy+8X+e8eRMTZH6JBXU8+obvAg3vcAgzIdL09vZSkSwUv7J8 +86cIEyLOONcu/MjAkwqXhby3MeHS74tDQj7pWLu7xf6aOBMc+tyq26TS0Pru +QrqqBCEv8n5q0EgqOne5ntAkM6FX09EqMToFX4ecCN0vwwR209WTf88nY7x5 +dcV6OSY8cQ18bqiVhHsDHswLU5kga7OCM3TzF5QUEQqZpjPhl8RzhyurEzEr +vDivcBcTLppWGAevTMBQm0DzGiUm6K+yvrm7JQ7Ffa5eT93HhOEOV/g59hHp +FtHW1apMCLRhbmcbjsHjVS+L+vczIb2gSGv7mve428gj+ZcGE5x1+B4+0HuL +NpZXS0e0mQBFYbTjAdEIjinjLbpMWLvTstx3+hVWSE/f5TtK2JOt+PP5myiM +uBv2Y5ceE+KXsxkbnkSgwtZdNLIBE27oiiQGNocR806TqrERE4o18itDBEJR +PPDA4iljJmTzrz4U9CoExfVvO+uaEvo22vKYnAnCC/bR729YMMFq04vWJ6KB +KPFDocTjHOFfxPwic+t9lJTYlZNlzYSkxvv3Zzb54QBfBv/IBSYYqV7sS7jm +gy4WutEDl5hQyP6xyzrPC/OGuVlSjkzosIq+mZbkhm2JRhSaCxOoF1/uVXzj +gj/tG+dlXJng2CHW9MHdARuETjlZuxP4PDU5a6VgjcIhexTtPJlQ+nBS8vkB +cwzOEL/35SYTvO9Nb9j45gTKqXPU5fgwQVnsTmeOrRZaxawh5foSeB56JHCp +nolfN8dnr/In+Jtn84tfRgClijO8lR8wYaG0XPJcUoHqf6CeAaE= + "]]}, + Annotation[#, "Charting`Private`Tag$10315#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], + Opacity[1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJxcWXc8le/7t/fem3OOpKJQqYyeiySh8tEwSkKUnaSkQoWMhKiUIoVIdijS +ZZTQMrK37L05Wb/z/fd3/jmv6/Xc9zXe9/1c1/v9eki27qb2DHR0dPRsdHT/ ++///v66/af2CSsGEA19gh8cBAZRJUN7ftOMpcU7yjdbdhp2oEsBitDf/FXE6 +OPrrzZ6DWGFCqticl0oESLxnT+I/jnr3d9vIqGURkcFCZp3OZ7H4vl/L9Ze5 +xOSPty/qc+zxcPTepzzD+YTxnpPV6wxuGF6mc95d9iMxzXz8wuEST2SKl+GL +kvlELEh8M50p9sbAi8sbxlZInCgQCYv/5otgqHSsWbWMEPn2XDf69h1U7h0q +rn9XTmy7dSN/pjEQI5+88j5y6AuRsYJ1omUhyMrlV/X461fi6Eieq1ZuOA7b +cZ6Ss/tGyDPLvH5LicLdZ1N5VZarCJWtYqomYdE4+9/WNWGPGmLHwdde1eOP +8NHhOq0eth9EAkvoN97UWJxdUZEJCPhJRGXEJJ81j8NiznqiQuY3ofSuUbrg +YjwK1qQEKZvXEi5PjxTnVL1E8fyMB3MCdYQK5x9izfQVxm9lOv2no474scOv +aoYvCSvERVryY+sJjc6caJ/yZDyVcHTJxKSB8C4/wex84w0+P+0R2SDyhzj/ +4nfGBec09LT0vOry+w/RXTk++NIwHfc1a6dUPG4kcg9uW0i2y8ASr7LOfIsm +wi+B+e+NgCx0V31T0crTTLimjOWIV2Vj823UDKxvJk6qwVn38Rzc1+XRdim4 +hVDb5qcvwJyHV96ytwhqtBIluYVxZrLvcaDj9BO2f62E8I3yilCLfJzueexr +/a6NmFuyZWUIKsD9GZWenS7thMDfu2UxDYXIElr57bdsBxHTZ9xgKvARzf+l +Rvv+6iACNwTNAv4rwlz7sOcyYZ3ED+luYdm8YjSUpQ8/rdFFKGxvfjrHWIIP +2Xm/nl3qIvLb/6yD92f0jRNCtuxu4jmcl2aaQGTSOMH2WbmH0Hh7bCDsTSkq +RDtqQWgPke7r7jTsVIZ+TIJTaj09xKcLX2+4ypdjRzBbDN+uXuJKhGm1cmc5 +/jlp6vriQS/BE76RWpVagQ7V4xG5fb0E1pquZDh+wXeTuZ222n2EErNhU4f2 +V3xj5Ph58VEfsctL8Ig2fyUuHZpgVRruIxyWYtn5WivRTMzOph/+EqoCytuS +3n/Dhk/VSUbRfwm7k7Jj+wKq8Pv8D07K+F9i4Iav7cyFanwxXXpqVLefuC7K +9EHfsAY/hf6o94nuJ264hLc1yX7Hr5U/7tiP9hPB1X11k+w/MPE0y6nNmgOE +C7fCXOvgDzx8aX5+PmSAeHTTwCe67Cf+9hB9ydY9QLBdD+PfkfML354gzLi3 +DxKLpXMPJx/+xuSrW8UN7g4SS93FBhN6tTgZ7Jt9v2mQuNB7rYtWNL7PMr7R +QRki5s0LgkzU6vDKqP95k2tDRI5yc5h0dh0yjxwI6/wyRBx2Nd91S6EedWp3 +XYnkGyZ+W7vo2cbVo520ynUz22HCgXTml7xkA6amf7QxyRwm6m+cU1aNbsCN +W9viTehGiKeF8t7qIn9w5MUP90jjEYL/k/vvs1F/8Lpk0EfmJyOE1oKedStH +I/qYRfN6DY0QlxVe+lyIaESmj+/DNdVGCS1D6qEWzibMj6o8NXNnlBgemPe7 +HN2EIV/k27vqRgmY9Wv5T7wZqx5E5WpIjhHFS7tXmh83YxHFjvOG0xhxdTw9 +/rlsCz5nCBnc/X6M+O/o7uzhhBZ8oWvfD6tjhI9Bi6ymTCtqN58iNhuOE1cq +RaU737QihYhPf/5wnLi9xUX7j2IbDmloCg32jBM2Zy7hlvw2TP6iEXtXeYLY +Enm62ZtoR646ncqKKxPEOJ3AxcnSdtzalKlQVzZBHJZ5FvrrSAdaW7sHhLFP +EsG6PkIldR1otJryKvPYJEHaEdZ95XgnhpsMBT+NmyR4rKvPJfZ0Iuu10s26 +fZPEE4kj1gXnu/CJMfuWzO1ThF2jjonBfBeqy/Qw9XpNEQtmA2qZPt24bSPl +a0jxFCEUrNz3eb0bDw58g+uM04RMa6z5lkM9GNlwSy1WYZoYEfqeeiS0Bwd3 +D394ZDxNFCdobVZv6EF/JdWqWo9pwsf74cKERC9e7otZvRkzTZQ9XOKeterF +07t1pliKpokIPqFPxSm9eDHU2pa5c5qwndr8vGa0F90yb5INNqaJ22rVPs+U ++7B8sUPjovwM0Vbf7q9ytQ/f+ScwMRyaIdSSrP7QFfXhMT63994uM8SDXfdl +Yxn/onfKCL961Azx05z71JjRX1Su3nTPI2+GEH1vU+Me8Rf/zPozhLbMEGVQ +0FrU8hfjLPmmyCszxJuuzSZq0v2YwXt9IlZulhAMctK8dKEfG3lGFywOzhJb +D/wnYpPdjxbZExnxF2YJuzsJ5dfm+9Fh7/fR0vuzhCxFd+74/gEUPO0SdjFr +lmguD/Q3vTuAHTud487WzRJtmqsSa5UDaLbz3h+1xVnC3Wxj2JlvEDM7m2Mf +iM0RrTnrn5ZPDKK+aPX3Ku054m4r897DCYO4/Odil6ntHHE453S77vAgmmLt +ToeAOSLC9Ca/mdIQBhcIFpqnzRE31XVdla8OYT01U73x+xxRYPZlSKp4CJvs +H4q+npgjom7vD8xdH6LhcTrERGCecHUMvcNuMIx3I9OKgnfNEwa8L8S87w9j +iLbCj5cW88S99AOX3jQNo10eA6vezXlidX/n/QnJEXRa3uW8L36eyDBP1Gyx +HkGrX73DPBXzRO7Offvupo3guQ2TDPeBeULc93KZ3uQIXrJYe5zNsUBsVynx +r9w7ilz6ij8O7FggLnMGPhi/PYqd3ZucbP9bILiDRPoUK0dRfOvVKzZXF4iY +kxFd8nxjSPW7ThmPXSDCPdjT1E+OYfaE+MPqogUi52pW61jsGGpncQoHdy8Q +tSl8dtZ9Y+hlJlxYT79I6BTZfx1SGMdm+0KFDYVFwm+/HbeyxziGeVT6+Bkt +ErJMs5cEi8bRvl8k7L7rInFzdcGDe30cSf0WvTcfLhJBZRn2ZYcmMKJM/eq/ +94uENZvx9L37E3jjz1jembZFAtsEkpibJ1C0lLdZaGOReKqw10lObhJnZFR6 +LElLxI/3FE/j85O4s/Awa7D+EiHCcy9ra+YkjryWfnPAcYm4dEaPXnxuEo9a +U46eClsiKlUkBF+pT2GOUBvpePYSMRw6TWn2m0L/B1v7uuqXCGfz6pOSX6bw +qoyot9nyEjEqmcTFyDqN/96W6m2VXiYiLqcF626bxgjHq8fuE8vEJnHj3exH +plH+qI5P9/llgqShVhbvMY1nXw5qld9bJprO/BAtjp5G0rrzwkbaMnHnmHWm +XP40xgWHftr5e5kQn62iM2mdxqS7AVOLM8tETBK7KsvKNN7bInjyvSiVMHGz +r7otO4NtSTVcdVpUwrDRYFnnwAyGxYk9vmlNJayET94KOT+DMmYbmYqBVMIs +OD0+IngGzRgSfe1SqUSSm42g9NsZPMTDKpb0i0qE7fINsvs1g0piOfGH5qmE +jZ6Sw+jMDHY51d/wFv1HeFwzLqcKzKL0LZm+Au1/xNe65scf1WfRNFpA+o7N +P+J2sex+BvNZtLNzMMwK+EdwXx4ZDvOeRZ7xc4azb/8RwfkyHz7FzaJfrHMb +/vpHvHoWccr70yzeIE9KvV34RyhFuBg3dM/i9g2DY6uSK8RnZZLIRYY5dGC9 +xdFErBBSD9jcQslz+C1bTbvJYYVgVyp6DAfn0DTxfdyZsBVidsLNLNB+DqdI +LDsNslaIjf2ia8yBc/g7QfDK+aYVwoXErs7zZg4L1lJqGVZWiPLQy52plXO4 +8XtkVxt5lRhS7yooHZ5DnXDWXFWDVQI+PL3vwjaPiauectMuq4S5w9Mck83z +mMD03/BszCqh1Xwm8rXBPOb6DQZcKlolQq9NRkZcmMezPnmo+XeV2GU8rtIV +TNvfV/jyCucaUVl6X/502jwOrAczklXXCNdndEbXvs1joKP6jS2Wa0Q2c8Bw +/9A82u/ttn7lv0ZcjL8bMM+8gAUt3sV3UtaI7SFeK3soCxiSFIHVv9eIqH+V +o0W6C1gsFVfss7hGpAAeG7JewFmV02HP5daJ15Uiynp+C+iqo6qjZLhOsI+k +6FS/WMCz9vzPpT3WiV8vm9/QFS1grX2lRnjcOrH8rb3weMsCyux0kbKsWCeG +A59stZpfwCSuhMqsyXXi2OgHLQ+BRWT+sy/0svgGcbEiXCdtxyLaZF7tDtbZ +IGTqm6xeGS7ixq+KD7tdNwi53zYZDy4sYlhnqbjq4w0i43K5vM6dRSy2Pe8X +8XmDUHp6JJP0fBF7+uKvRY1uENIFKps7Cxfxje/JpDF2OrDx5CU71y3i9zt1 +mfZydHAivYitdHwR+R+m/4hWp4MuS4beXaxLGGN3TuysER0UsK3ohsou4WnR +R+wstnRw5GrUybB9S6jkOSh86BodxP32LPX8bwl57rEIq9+nAyk5m7yQi0u4 +6bgGle01HZDHd97ecXsJexOMN9w+0Pwp03v+eLKErdba9SW/6WA46OGJvqwl +vPHum+3zATr4l/by9NLXJfyUHLlDYYUO7hD8KfvaafH71gLjBehhTGWhsGlm +CUt4Xhi8VKQHRTN/5kCWZeS6H6P8COihnU7RyEtqGSka286rm9OD5w5G5ruq +y0jHSq9n6UYP4i0OMgV6y/i0TNT+ahA9fNrPfYrDYhmlmtTe8L+gh6idAsPh +zssYlHRE3jSPHgZulPfo3lpGftGj9yK+08NbBmhbilhGbwqn08E+ephOcCXP +vlzGKDN1XPlHD66m+y/U5dKeb5B3mgkyAD/TC5n6imUc3//KX34rA6iL3uFT +aVjGRUkp0UVdBqjnrbh+7O8yzrH/6NltyQBPyLY6LTPLeD6TL1TQgwESMm7l +PNtYRsZPWjeWQxhg0/ZbgSvcVFx04jh0MJEBfAdvne+WoKIgW09VXBEDdCZx +fddTpGLZcdnEU/W0+CZcMRq7qJh/ISjl7QgDeNztjXEnqLhF79FRDkZGWE0L +HKA3oiKHS4lWlQQjXJ2ULYCTVBQ5oHo0ehcj2FBOKqM1FQNfOKQtHGEELl2L +GFdHKoZPcQZn2zNC8819Zwc9qGhc9fzkdT9GYL+bInPdh4qxQhmXnjxhBFbv +ueqB21RcuXXRXT+LERQZyUcSg6lYXNvhzVjNCHzyTv3/RVAxLEe3g6+XEQy2 +clqsxFDxin+NrtIKI/TF9g/ceEZFE02pxEYhJpjq2RevnUBFruykDzVKTMBr +dumi+GtavF+2aT/0mUAkYWUgJYWK5rFXf4taM8HzVKqZdRoVRy49++V2nQlO +d4VeSE+nYttNgR1Ho5mgSmKYWy+DigutB/g905kg4mKtz9ZMKrJYNtknfmWC +zTUXPvrR7NO9ddsFu5hg3mM0aRfNvrRKaLIvMoFH3a8FC9p+gyfp5cx8zJD1 +mcOhhuafUYRJ6YAiM3wxFXQrocVPDbBLCNBlhiSGQmoXLb9PrV2H9c8wQz9j +8XcHWv5VzGVj+leY4UlRrcMjWn0XCl94H3rADKNZPCd+0+pn7U/rCUxhhs7d +am3lj6hYKsliqPuZGd7IexjqRtL8Xc8m/2tmhl9nOLnfhVAx12NebmqKGVrC +/jOYu0PFYf2CjnZ2Fghj9K5lv0FF3YSHe5jJLBASJtXL50nz55bqkraPBUQf +Fca/d6LiQGAQOxxngX7Vtd1sNlQ8b77ps6AzC9xmexdyyYyK73lYghoDWGBs +iE7R3ZiKx8jN7dzxLKBPWScz6VCxGlMvBOSzgP05tKjfTUVXq/3dfb9YYGfm +1k9iW6joIVW3w3+QBSxiITFdiopGtd81H62xgMa4w4EVXiruXxFeChNhBbow +Etsbetr5PKwvqVBmhTAf6wPlc8uYsE4ht+izgpZH5G9y/zJeelxsfM6aFQIE +4lS0/izjlCZXtNJVVpD8LOUx/GUZZ46b1/x6wAofNra3Yd4y7vGYf1mQzArT +IS7+Wq+X8chxayb3z6xgfrbb4HfkMnrsHj33rIkVGO87nN3hu4wRRnwOvBOs +EPE5euyUyzKeM/GSO8rMBvu25A/cNF/GVK5zz1sk2aBmpkL15sFl1Nwkr9um +ygaH0jMCXNSWcbSHrSr+MBt4iPraHJSm9atTfyvzrNkgVbbcUJSdls/OL6eC +rrHB3ZFsrtLZJVTWVdz78wEbLOxUPmrRsYRZw3cHHJPYQDf11AzHtyVcSL/8 +X0kxG0zSVST60fonUXzb0LGODWRILJzST5dQ8YadQsQg7fnpnNTvd5bwWmG3 +nf0aG5A5rl3a5rSEX2eZ6J8JsAPTFtagWtMl9KFLb7q+hR2M6PdvEqP190F2 +YY86gh3++1rW5EHr/9osiUHhJ2i2XVKBEm0+ZOYQryed2KFSP0PDhzY/1ER3 +hxf6sUOC1t8rexpo88bqZLLcY3bQSLd44EObP/PDm84xvWMHnqxvYX1xixhh +vX7iVCk7hKqfZ/5zexFr7h3O121iB9Mo9jJte9r8ikivfzlKsy97nttPm39G +G05+/uvs8JhtT5kvbT6GwcyZBUEOcLwqdHAbbX5ql/y06dvMAfsO3L4TuLiA +vs1Xzc9pc8CCGjdVhzZ/FeiSNlubcgDpzvsMoM3nsntY2mHPAVFnSLMM8Qv4 ++6RE3KAPB+j1XxSRps13zs5/Hy8/4ADJvFAVFtsFrPfemxqUyAEivnSWBgcX +8HxA+H1yAQdwKv5zbd20gE+vbKE7VM0BrgxTt4zZFjDMXJpzupMDhNreLrEM +zyNdFa/YphkOCBcZSM6onsfKMN/2AUZOyHfMSS5Kn0dfz9nozWKcsPtKWRNr +2Dxq/v54amUrJ5wIJ6mausyjHhioHiE4IbuxOrHJcB5ZiE27th3nBLWrq2Ff +tsxjZ6bonkR7TrD+l+WDHDT+lBl6/OF1TpC4sF44SeNf+2N1omTuc0Kr5w2T +ndVzuO+dEKEdzwmszqJrM2lzeO/yCd8/OZzQcHGnQM+9OaxJqN3H9YUT9lnE +/pq+MIc3puR9ipo5YdWBtVmJxg8F7gjyro5ywpDbju2DNP4osHZn/99VTnjR +cDv3F41fRp1/TkfwccEVxecrXTT+ucewkf8AmQuOKFk2yOMs9jKUKBTt5gIf +1UzTCBp/Tasiva4y4IL3M6kHb9P4bYqEyfpdSy5YTik1zjObxc30wUw5rlzw +1Gx7HOuuWdTUtz8e7scFRRdOhCTS+DNd+e9W5iguqDtrN/aTxq9PTq4yTL3m +gu1WSzkSNP69t0VULyyfCx5/yr6W/24Ge54FGz6p4gK7rherbjT+rrJXuAba +uSC6uXG1hMbvBb3Cxl+Oc0FWQeFHWxr/l7mje/jSBhfcKmw8vommD+pkt4ov +83HDsfn5QJ21adypsJeTROYG34pXnCvt02gT/6nxwy5uCNMQKa8rnMafZbNd +nPrcQD3ktcj6eBqlg1M8aVoevp3wabSk6ZfgT49rjZy44R7tPvEdo+kd3FPh +eoMbLp6F8IvK00icZFVfD+eGI+3dxzrZpnEw3uHpkQRuaPnCfe3yzync+U7T +gTOXG/zKHQ7b3Z1CqR7qiOsXbvivoLf3hcYUqpKZjkY10vwpKpRmLk7iM5YB +S8owN2iBYRb13STesdDYeY3KDTFFMs9lLk4iR+2BNx4cPKDx4hjDI/lJTAk8 +QW2T4oF7ZcIn9dsn8NC8og3rdh5giHXtbHo8gZrCCX9eAQ9IlbbyvDaewFfC +td8HTHlgt3afwxfGCQzIGegdt+OBET9buWocx4xifhO7qzzgSFYu5Lg6jtKq +l4/G3+OBc3alXqqq43hQcWutwTMeePd1Pz4aHMOBhbL6uHc8YMe7TeH4izEU +3M3B9r6E5u/7eVg2H8MjrdlCWrU8sA6yezp4xpDjm0VgSC8POGuUpqRWj2LF +3fdjfrM88Hi5krU2cBSf0bscHmTiBYPu7C/qWqOouhShLSDCCw8qr1QELY3g +3oG7BzIVeWH0us09kYwRTC9hc57ToNkm38boz49g4zn2vetGvKD5+t2vJtkR +jPLbJHv9LC94yVyKHWscxvojY8Uf3XnhjI8zaTRyGCfrP8a89ucFdkWBfL0j +w4iatkVrD3khd+ezf92Mw8h3y+GLdBIvrGjtKbLHIfyd72SVWcALXd4+u5S9 +htDPsyh+uIoXIu7+cjJVGsLGhx/YR1p54Yslvard8CC69Og8shznhQo9Jmph +/CCacHIFPVzjhc54BaBaDOKfKQZBGTY+SDB//NWfdxBFmegVDPj5wO/4l9uO +lQPYn8P0uFGcDzbNOgrCnQF8aHPYVorCB7tE7Xht9g6gs4dSVuU2Png+Jsbg +MdePcT1HA/R38QGpe8WqP6sfM9oqz/hr84FDF6/LG4d+ml5rbpfW5wOn4NR3 +luR+9Dc7Nu91jA9Wqv+ztW35i0+zhY4kmfPBws9Eq4aIv3jp5ISdug0fdFya +ObXb+C+a0rOzBTjxQVQ9f9Qcw1+sfREYstuTD54kWnW8/tyH5z/HBpbc4APN +B2rOeKMP322+spkhkA+a7wzqwc4+zPpbmvw2nA84L7Ab5U314nepshK+J3zA +rv/4QXRKLxpXWFa0JfBB26fjuQ62vaj3VWTuRiofiHp+YUmX7cX6o3GKHTl8 +MOrf+ehicw++j4hSfFnEB7Jmt1TEYnrwcLWWnfwXPrhI/DxqZNSDHEK45v+T +D2qT+rb+Y+zBzr4QGZ0mPjA6MxSqdrcbj3Sq61d00+ozvbzxeK4LLV9y9qQO +8wFLEbNFu2sXah87leswwwfeB58Kiox1or2b9o3hf3zwiaR54qlVJzIzdGv/ +ZOSHnJiGkYXWDvy6SzPmGjc/8C+uTxcadeA+vvWYFRF+0DbRDQ8rbUc2at1I +ryw/aNT/Ud5BtKPHx+vkyC388P2P5rxtXhsOps84vFTjh5NnI/TsVNvwvODX +Sz5a/GDjun9IJLkVjZyezWzX5wefuq/rk5KtqM1uFql3jB96/J8yBT1vQd8+ +iFWw4Ae6r98cGAVbkH2n4zFHW36I4bCV04huRk7sSQh05oeuQJsToSLNeFfR +WMDDix8cHysWLUU0ocGW6fViX36g8l+14RJswuYj141agvnhrde9t873G5G8 +4dv1+yE/MO2esuNgb8TQwbM3Nj3nh2NF0YIaEX/QI7TV71gKP6zV7fRa4PyD +Uc59TU1Z/LAh+ZBz78MGTAtiZ937kR8sjJrjUiQb8Gdv+PtLFfywuYuReSCu +Hq1iBdaZfvKDa8KeqQeb6mn6MkbHs5kfIt551Oqk1KEMe8JerV5+MDGX8juu +UocJHfws3aP8YL01mfqipBY3bi9xWS/wQznjJ2dCtxY9k3/gng1+iHSLSdZ4 ++RuXdjIbTrMLQLf8Yw2F9F94Rr5KK0BIAOwFZDkHP/1EqTahYDcZAdhFbsj4 +3fMDlbS+82htoa2/b5LzfP47HvyRWnF2pwA4tfb1ukp8xyiDtwGW2gKg0n3K +v0q/BuOsmn9qGQhAik9TVKldNRr6V+Z6mAqAzenuN+ceVuGl3SbVEVYCUHy3 +jntv7jfct6dKJ/gibX1KHz1rayVe4jR/UntZABQUHWOE+CuxQ0TJk9lXAGR8 +9pht0/yKf5tDMoODBeCdt9zckatfMMVI5czfhwKg2SqYwfChAt/taP2oHC8A +/iOheU/Gy7FCMSuiIlUAjqTIGZaplqMZc06C0XsB0Lr3K/KmUxmGbTgESKMA +3CYtWUfmlWIlS1Xi12pa/Eg3H03GUqS+yZmwbRSA1EDZrC8PPuNOrZlp/R4B +4FAIbc1WL0GJrMQw8rgA6CWluIpUF+PTNAeexiUBOMe2JyHJsQhDzB/O1zAI +gn62NmuC4kc8Oj8rW8wjCDKhQqMCfwpReGf62Li4IMSdzpU7/aIAo5tXxGbl +BYFRbTnguWc+fiIe8M6rCEJ43NiK1d73qFQ+d3GXliDQT1dypYjn4dfS25c9 +DQTBKNjXXHgsB+18XiwFnhCExrlDr2/WZCM2/3e1wVoQTr1RZJ4NycKFJ7Hv +SS6C8DCVtzXSLgNNthdtSrsmCCXlpL2jHun4fpR1WS2AZn/nnHa+mIbupd2T +4ZGCoCs+kRLj8wbp+CpLZZ4LQnnkheaDn5PR20PdOy9VEHyuSucnT77GbWtH +4eZ7Qfj31cV45ugr5PLIeixQKgjvvstUv/32Ej0fjPFk/hCEqBsPkrbZxaNB +XNNtnxZB4L/bnsIfGYddtbP/jAcE4UsatqSmxOIP2T+K4zOCUPxCUm9y5hH+ +YxA48XGNFr+9sn3tQTRWuxbtCOcQgvWPJ/y2yEbhM4U823ARIXgyaz1U8S8c +nZ0eMZiRhUDzsJrjG/5Q7FfY+WjzDiH4qJutxEMKQqYku06SphA4sBtuOl1y +B/f+9DpMMRACuibvPfblvuhbqTNhfEII3E9vxzrB68hrremvfU4IkrYabY7n +u4IccgNdu1yFQP0bg86dO27IP+SlbHpdCFyC+3Z5Fjggy+UaqleQEJgWFQ6+ +lLLGHSkb/NbRQiAh513+NvM4XufT5XdJEIKuGzVO74v1cdehlie+74Rge7ib +2Y6UnSggwNuf90EIapzuaUYUCeHbO6V73n0RAt8lVTX19eTP98k5dal1QlBK +T7E/6yiAR+FEbmWnEJjXSRa9s1LDlm3eP4ZGheDXLd2023UHsUOxfKl6SQiC +Dqf7qRQdx2j+EM0fjMJAt37se4PBWbzyRrHnN58wjHMk/jCmOKBQZdHUrJQw +GDyX/vS+3RWL/3z+K7xVGHapb8tnLvdEX4ufUUvqwpA20RJyS+E6zt4J51vW +FQYQ7rrfQcOvMEZMbPGYMCiv76zO/HQH722TiBA4Iww/CA9jh61B6DO/g2Hi +gjCoqGVcVP0Zgv89kblefkUYbOrNjeW4H2CmdeW5An9huHF3zvGDQhRKH3qw +/3W4MBAVGh9W46LxhNbrSaNnwqBpaV9/T/kxMo39vCCYIgwsQ27XJApi0aBD +rb82VxhETTeqb8fFoZfDqnnGZ2E4+32oQcYzHn+yHV46WCMMlpjxJDfzJdqM +t4TXNQnDnbyQjq6Tr/BBizrdrT5h8Kk4E4Qzr/ESj9mq2JQwCD96/uBReTJK +ftgu674iDCW23xKOvHiDvedq3QdZRICSsZVXl/b+6Gef/mcoKAK3HigFRDmn +o52r94k6GRFoKb249+eZDJxsCzisu00EmhQ+U8Rp72fuV7cGlz0iYKiqcfxs +Vzbu5dzKWqwrAqky359Qx3NQtlHp4vRREcgIy3uRKpGH4U2lVoaWIrBLudWT +Tus9mpDgq7W9CFjwG5HLzuajHdXln7mHCOSnb8mmf1WApq+zGa/fFIHPbbKO +/U2FWBNRstUnWAROjuZWuil/xHTGzZq7Y0Qg+cvWbo/AIlyTV34qniACDJkV +paI/izGNcTyl/a0IVFsfZ83ZU4KJpn7WVfki4Fu+yNwb+Rm5f4S+8ygVAeYb +O45fHkG08e+6sPBdBAw89soJ0Pqr/9BSSEqTCPBEFpB+XCjDONW2azv6RCD8 +pe4p/h3luBjy4NTQuAjMK46t7qX178opvh9nlmj+PW+V+6dWIN/lv8spDKIg +EfS8pvnaF0wtKZGkcIvC6wKOqd1aX9HI55Cbh5godD7lsuIVqkRDOmeXmxRR +CG//+mlttBK/ZDVFflIWhbdSd7hkCr7hv6IdC9/3ioLoppDfyo+q8PL9SnWB +A6Lg9J3Oi+5iNcplf48UOyIKMwNmOez7anDLjoOOY2aiIBKR+dtR5jsKDXoe +XrYRhbCvEu2/Fmm2is/V3y6iMN3D97b77w8cjWA97HBNFDRvtHYL/f6JwuYO +Phq3ReG8tbnE03e/EB22+TWFicKPnwe2kRN+o8bcp/icR6LgojQV9VOnFivb +z8WYvhSFBRvZTdmfa/HMHndy/ltRaHryQNtOow73XDIStHwvCuQfhVs+Zdah +wrTV+/LPorCvu3ipW7keLz2cvepeJQpnxHXHfJPrMaSz51hvnSg4it+ciqXx +D9UDkkNCHaJwx+K75YvnDXg/6nBWxIAo/ImgPm8U/oPneKPSa6ZE4VT683iB +Z3/wYcjTvzVUUaAUMxp9lqLxIXZjK2FGMejJStM4/aQRO0bOucpwi0FFfnym +iHQTlvmds/AUEQOWhVX7l8+asCtuV2GkrBgI7a8tNaXxr0hKvp7zFjFoXzjM +tu9FMz7+U+kRoyYGIjfV6PMlW9BZC7Kva4lBzLfxE9eSW9CCfhdf1kExeAIc +i9kKrSgXc6c+86gYzJ9gcRih8UMTvrooR3Mx0OR/w+mt0oa2TnbLV23EID3F +OMM4tw3Xc41u7XcWg9jkaOtAGv+05XsxUntFDBrHDVqzatrR03Xd9sMtMdgZ +wPR4zrgD13RjVSzuiYFJeB3zm7YOXDYWPmYSKQZcHozcZ6w7selCcKfQUzFI +mDSIaejtxLSPTygPX4nBixW9wAr3LmRN1qu4kC4Gl3//ehE534WGpnseL7wX +A+dOD+WugG5EnfUr/30Wg6QKDjkP9h70EPgYyfpNDF7VcYrW0fj7sb1hd71q +xaCu1yh9LroHUynCuftbxWB1bczXmcb/tTKdB5t7xeBoTYRlhngvyt0szVAc +EwNRDeaKPJtenH+fpNI0JwbXw50KGZJ78Vf4LS7NNTE4/WBxTHSyF73Go66t +MYuDx94LaW5qfWhVt1s9ikcc3i/t1A7w6sMzFiJ6HaLioMFcLnqOpm/WPPNl +guTEoa34jJwnTf8kGtorD20RB9WCXp0jNH1Un7VAktgpDsM/Xjr1xvzFv8c8 +x95pisPFQ88Mk2j6KoHDZWFRTxx6YhxqlGn667DxcdvEI+IQcG/zlV6aPrN7 ++qxO5pQ4hBpGs+i/7cfzO76nHLcWB7nhnQvuNH0XdlD71cgFcfgY/qO1ZM8A +Ru16wbbHQxxWnZVnam8PoMDvr91mPuLAeHnb5aiaAVQyuurRdkccpC2D93vw +DGI4z0a00H3aej1pDmHzQWyUuF+WHSMO7AORsY3PB/HkjYgEpnha/Tt+bbIY +GsQLKyqxSm/EQbvldkWAyhC6Cr35V5AlDs+XxbbWXBlCe7VVJeoHcdjQ3Rxd +93kIe7zvT7woEwcHFpVXMzT9nCTrJCBaIw7yu9OvFB4axpJHipsMGsTBGAUs +90cNo8g13uX2dnFY+XP+VAdNn2e/2fV78wAND4H8XA25EQxzNVYxmBSHM2KX +krQdR1Bk20Gb74viEMk6ut2Mpv+bWl8/Y6GTAKNJzWWVpRF8y1ecksQmAYpf +tx8gaY2izJ7tB0X5JUDH41NPvt8oPj4itqgjIQEpH0guHNWj6KZWcO83WQJy +3U6ye3GP4cyRSUJYSQIaCrTn4s3GkNI3NVqySwKMn+862/V8DNf2xJhqaktA +reH56tKeMXysWCfooS8BzMr0I+e2jqPjC/9R9mMSYO8z5CnsPo4yfPf+O20u +AepnvFcDP45j/u0LVbdtJOCR+fDIY4YJdMpyv8XpJAHCqlYrRfoTeO2Vl/JR +TwmgNDbmPImcQC+ZDu35GxIQRP/vWlDjBJ7U8E10D5CAhc29dwTFJ3EsZT4s +KZyWz2Dy9xO2k/gw+9Wa1mMJkBJfGkxJmcSVwXjPiAQJyN9dYZA2PYkn1r73 +FKZKQF3nnWK9fVPIkiZDPZAjAXfun2iYujmFiYnvRkOLJACyH7jpVU7h5c11 +r3dXSEAHSbjnOPM0uvxXORH3QwKsqZWWZlun8aG57XRLowRcbq7TTDo6jSd2 +tNRc75KAN0XTm7wvTaN0H7dl85AEnHHfpiz+aBor7jk3xk5LQOHa2S4onMau +nwW7VKkS0D+1uCWnZRq/O+KjewySUG7uQRpZncYlKbtaHU5J4HxaM/NGZgYL +bc5vKhaSBIsW3dotB2bw7ILtbS4ZSfgr9Pz8lMMMsjh33itTkISIcJWLWsEz +uHu3/397VCTBd2Ln2YPvZpD+g3sx3z5JUGr7VNX4cwaHtEXFy3Ukwd8+cDxt +cgY/773Jpm4kCeLUOSk1gVmU53+nzn1CEi5Waogc2DmLtZWO3qlWkrDIkO1J +bzaLR+p3NKY4SIIPA+u4gfcsitOJ/rnlLgmXfnkk1cbO4sukoxyS1yUhvumW +4GrxLIquXS2RuCMJcra8Dbkds6i/VO3WFyYJVmIMosx0c7jz16PDAY8k4fWQ +pEIkeQ635J6tvxcvCbdr52+m6c7hu83MHSdTJSG7ecbD1H4O5RS0dwfmSEKy +7tkfoYFzmDrMvRpeJAnWxspa60lzGGW73GP3RRI+8YREzlfO4VmeXvpnPyXB +0zfOxHdwDj3evz+f0CwJeMJqtz/bPH5xUWjz65WEYEklvvdb5pHyQnw/jkrC +StIPU3+DeeycjWqqnZcEh/qjIfmO89jUFVAM65LQeYDhVGDwPBakPnYNZZWC +W3btLmEp83jUquJIGr8U2LbPHxitnMcaan+vvKQU3Ou8PjjSP4+8fd+EvTdJ +AdugXc8u5gXM/xCrsnmHFEjYBT+iUBYwrn5IJXuvFMy6vfzvICxgQ8nBNcED +UmBxk6s1wXoBl81Vy+qMpcB9k3tX8s0F/KmyMOBiJgWhnNcNG+MW8EHWwwd/ +baRghvdT77aiBTSO5OfIdZYCdd5/W/Y0LeBut6MHba5KgaYD09VT8wvIZO6w +44K/FHw1PJC5nW8RL/1oPqsfKgUqlPgo9W2LGBu25Rn7Iym4uylrv5bBIjrN +H5IjJUiB8yuvOGa7RaTbVn9O9q0UYKa/dZHfIo6Y7T+2/F4KhIwoV6LiFtFV +PaSFjFJQdNPEe2/+Ij4KDLq0p0YKyln/vcupXcRnEaMHnv+RgsPK2z/SjS5i +jU7On4luKYgN9L7LzbyEYVu3GoqPSYEhe7/lPtklFMzLYn24IAVVVg9vHN6z +hHjyeNE/OmkoTHKLXjFZwvhru7dkcUrD1Q/X/sVeXELe+l32GiLSoCOcecXO +bwm5ncNzPpCkoUr+flDekyV8Mp721k9JGjYOf9m8kbGES/z2klp7pYH10zzP +x69LyGNnKVl7QBpC4uPeRXYsYfJX6dC0o9IglXhhzWtmCS8qN5nfsJQG7qQu +07esy7j+d2d/pL005P9Xb7AguYyZz0se+FyShmQPjW73HcvIK8m7xfqmNAg+ +GVoV11vG75vltgfckwagU/4+eWoZ/RguqaRES0PFaQfdVqdlNHnY18SSIA1D +x9xfLd9cRlOzgW/73krDVG75pcMPljE1emrNuUAatkQ8MnjwchljLu0IaS2T +BgXuSDeZnGW02vaMzeCXNJwtLT91r3wZi93W3ga3SYNIlEIhfwPN38TKAfpB +mq37bHKjdxmDYn4+vzlLy8/tmPjrmWUUT1pX3L4uDQJDh08WbCyjbGCnfia7 +DGzXWotk5KZiogrXYzURGVDc/nJ7nwQVN6Wn9Y+QZCDW0V/JaDMVIWTPtZTt +MsC97vdOcRcVr58r6VPRlIFDAzerVYCKNFK4b0xfBnT7RZy6Dak45RZTnn5c +BnhanKQ7T1LxkXNU6DNrGViMU3wVYU3Fwyc3y0e6yMD+hIEgT0cq2lwL/2Fy +XQbO5+QFBF+m4sePyg1agTJQZ398/ZIPFc0at0/veCgDR+f0qefvUPH8m7O9 +xvEysLnVfUwzhIrdznlfVd/KwHOr2xJ2EVTMv8r6VrpQBtLnSF2XHlHRsyJj +UqVCBkhOKedvP6Pitu8/BI1qZcBJaleAZQIVn6HXq22dMhDOKO5y9TUVb9+q +t1IdkYEdqS1GBSlUXBrM1NNelAH2PleFp2lU/FTbLOnKIAuv67zcc9KpqNte +M3iORxZkfe/L7sigYgFlvNFCUhbuGVt+3JZJxXMtKkxem2WhTSXwyf++/1dd +dPOO2SULLy5KN/zv+//dDzOrXjqy0HdmeuIAbf/Xja8W3kdkgZ8jdugnzb9w +N4vVLUtZaLpz6OojWvxfZ765vXKQBe6CWJ1RWn4zVxQbqjxlwVjsdrU1LX9i +KKA+018WRqa2iu+n1ZdkGcP6/r4sJPYS0r9o9RvvvX3hw1NZGHymb3CGhs/V +wQn/9mRZ4B2s2pFFw+9+wD+NkhxZEFjfPBFJw1e9rgLjPssCV0Zo/jEa/il3 +Zz7G1siCpN0w/RLtfD78NXsS2SwL7Metfp6jnV+E3saMXr8sRK2eZDSlne/+ +ZIFB0WlZOGd4LtnkHBUt9d+M9a3KQmYqD/0s7X4MX9DSd2CSA0eHxp95RlT8 +fDTNch+HHOgzGuhSdKgoiu8Z7/HJgeDXARTdTcUHpWpm50Xk4Mx1vfMmW6jY +XpwnUCwlB5eLti4tSFJx+ov1q0SyHLzcfLxoloeKdrETzA6KcsB6rzQgi56K +73Qe7H21XQ4Gd2mGl8wuYy3vSo/bLjn4NFvYc7B/GfH1SyEakQNTiqkk+59l +vEzlU6gHOZDjUxgOrlhGc4/Zq3f15cDXbJI/JW8ZJ4y+Pk0zloOswq/7+xOX +cTvJ29HGVA72efGp10fS/PPPjC+a0err9PRL9FvGwOdbnm1YyYHKtjmSj8sy +hri8Xn5mJwfRErK3KZbLeGYfh3yqoxzEfCZayg8uY6T2BeWH7nKgNR4wo6O6 +jE2rl4X5vOTg7rl7frnSy0h69UV03UcO8oQzzRjZllGORzzW318OFqh5H0/N +LdH4NnuzR5AcVJc93fCm9b+eFc0dcF8OWLKDrkTQ+mM3e651UpQciDgR3t+y +lnCkMC7R64kcKLTfki6m9ddzQ/Tenc/l4OejjZAe/yX0dtzfSXklB7mR1+Mk +nJaw4ozsx8Y3cjBjwNS2Tuvfu/S04mQz5GDe47yY6r4lXOzWy2zIlQOv0yoG +GzJLKH3RtcH+gxzcaGtV+ctE6+/Gt2OiSmjnadn6QmV8EXPPW9MpVMjBV+nV +Bxx1i5j65FTLiSo5OH2ZZH2mcBFl1NUc7H7S8Jy7/rrnxSLm6cqrtdbLQZPn +7sS/t2nzb6OSaaxZDrZc6eG/dmERg9l+ztt2yoGYaNfwLcNFnLdLrbrWJwfu +Qime5B2LtHmcSnIdouVf1RzDLrSIel3Nht/G5eBf/g5388UF7EsnLeGMHLza +GnzpdNsCfr9q6y61JAd7cs/4fipeQCPRY4YWq3Lgckg4z/bFAnr/7juiTk8C +EfvM8Hr/BTz26+isFwsJbuqnPn5ss4CHHBgTTnGSoMdyxXuH3gJqb7niKs5H +gl/GB0Ypmxcw7/OlZm1hEmyxzPxjx7qA+7zkOpgkSPBkiH9P2Mg8NueZvFGS +JUE1877Zxap5fD9FfqYuT4Imv28mZanz6FDzPL9fkQRvLis0BITOY59sXsGs +MgnoFjpjAmn852n2wffJaiSQujf7IthwHsMeqdzW2kOCOzuinq9um0fnkZ9u +alokEFcU6XrDMY90gwe//AISbFpMDjo/NocPXjq8qD5IAqMvLxyPV8+h+XpW +irMhCbJvrWWcTpvDP4t7r88eJcG3xuS+7pA5pBSd3d18nATO+g/fRF2Yw7IM +scvnzUlwcEdEr+OhOdQ/2i9xzIoEPHd/9jnLz+GRvFPVMrYkWD+kqjNBP4fJ +50Y1Yh1IoHS9Sy2xdxb/TmskuTn/D59w3xufZ7Fs/7/rU+4kGLrTFv7gxSwu +fFtLPXWFBJ8COB2Ub83ipha9yxLXScD7qXxsymIWexeUS0JukWBr8Rm1hb2z +qNnYferkHRKIWVGWJYVnUfakxRPWeySQZJYqr5uZwdy3oqcdwkhw0b1Cu6F2 +Bqce/7eDFEkCxoIs+3kaP/9yxYA7JIYEZAuTLvuwGUy7kFtt/JQEo1df31+g +8fshXV/O5Rck6CvVEZKi8f+RXQ92/veKFu+SmPMz0gxmSgxKsbwhAfuTPG31 +NZqeYFk/7ZhOgrdZaWHK7dO4JTScIT+LBObJCuoVH6dR7pDGqTPvafjWWdV6 +0fSJ0KRLeu4HEjQfuhAS4jmNUgOs8edLSEAf/KLyI03f/Nd6+/BGGQkmo7zk +w2j653yObuThSlp9F1UTytmm0XRZ9+pUDQmevrnqrVw1hfP+H3UO/ibB9LYX +6Sl3p/DteJBVQAMJYoNM65xhCvdVasrJtpDg1Yk31U5Lk1jSeGqvUwcJ+tdt ++nTyJvG1nME6Xy8JAsK0jI87TuLnQ3YnLw6QQO+kWiLIT+LlDgGHtBESaJ3s +ivfvmcDHVIed+pMk2Ka50rnyeALbqu+1Rc+SINLgwjMz0wlUu1B6SHuJBLpf +3dWmWCdQ2X8ksXaFBPl1a9kdn8ex2eSusDAdGfiGLDMzfcaRt3rwYj4TGRhZ +1hQ+q4zj/SHvKRZ2MqRfaS7KG6Pp5a2FT3W5yRC7v+w1Q+oYmqxGq7Txk4Ge +3PMn4uwYTspdFaWIkCFgu+skk8QYSv24tPBNggwpeZFLH36PIktetICZLBk0 +glS5q4JGkQ7cYp5QyNB48I1Zqe4o7pprFd2lSIZ9JBON5eURvHZMkPGOEhn6 +ph/eFn8/gltmn8xuUyVDwSOBMB/HEfStm91SupsMa2PXlfbIjSDcFv/BrEGG +3MLtdp3tw6iwnHbtzX4yDA1OZ1ZEDeON0p7of7pkCPdOn4g2HsbYAoJx7yEy +UJOvXi9lG8a4e0n364zIUPFns/x2HMKl0tQYKRMyjP0ISwzyGcI7wjdqKk6Q +IYz5tQ9JZQitIsI8T1qQ4b5h3SuJoUFUyH+bEWtFhs811l83Xg2id0Q1sdeW +DImE1fAWi0GU9/tyOcSBDKdvjDHqCA0ik9KBvV+dyPCanGH/oXYAlXuJCCt3 +MlxY/O+8970BjKDkxr3xJMM38f1pCgcGkMunyu2oNxnMnXb2y1H78Zt/iUTP +TTIcnmNp8svux/3uEhGU22QQXbHW/+XSj88Cryl8CSSDgMOtIDdKP946tPRE +JJQMdzMMnTe6/iJnT86NygdkeJv5ePNQzF/sjTd7aBNNO0/pM9b7jP+i/RWx +B5lPyOC4fpj+FttfrD6u6WfxnAxevdqDstiHZ16a2RW8JMMgVVEp36cPV5dH +Hq0nkSHSer2pYE8fhh2UMU1OI4MWxztL0lQvkl/mrK9l0O6bST34pvfiL8l7 ++fm5ZHD+xOJkbNuLzsmduhaFZGj5p7seIdGLpFC6nMxiMmQ0Ou/62tyDzf8d +GbcrJUPcQecn3hE9aJ/4mu37FzLED630SBv3oOoDqRXpatr9OxLAuo+jB0W2 +7Yus+0mGrjNibmWB3ajx8XWPej2Zpgc+x03TdePPOMt7a020+PS3zv7n0YUK +PIkdXu1kqLoSzBg11on+rrY2bd1kYNO8IVBzsROVBLy0E/vJsOjOEGbe3kHj +Y+eDxEfIoNReUfjZrAOl0jRW5yfIIPXJ2ja2uh0fuX48WzJLhrSPnAn39rej +2qW1P+pLNHz5esL1StrwTKZBmtAq7X2rb7dOVG3DPIsBx9d0FOiKZeeoymrF +Uv0HVTLMFIiMW29dVWnFBt99XzbYKZD2dMmUPrUFBx4xqkXxUMBm7U9kmWIL +qiRz6IUIUoD7i5H+9YRmvBaTV+EmRoFxnVfpNuLNKOdXKcMkTQGB+2L75583 +4U4ppmB6MgVyZM8MFIo0Yd1j34BMBQowLp3llHnWiIWOru+/bKNAn/vipn7B +RvSyT3xcpUKBiJl+vsnIP6h6St/oxm4KBESHaN4V/YM10volsRoU4Mu54Fcc +04Cue81enScocHr21+srkg1owGZwQ1qPAhqpZyfPJNfjlS7HV7qHKcD8r+r2 +doV6NNosay58lAIf3Q23n8+uww8xEvEnj1PA0Jw6VqJah8lpCql3zSnQyqCE +VwpqsdJkm9ZZKwqIVx776apXi6EvublTbSnw68jIwIfo3+jM9rfvxQUKmAXt +01nJ/oXEk4uX/V0ocGw8VuDjn58YmdvT3eBBASp57RHX8A/MUKvaWn+VAuWC +nyy9uH/gsWvZabo3KVBmF/iMjvIdey5XOFvdpkCdrfhmH8MaXPrQnnY+iALF +se8y73hX40/9a2XdYRTQv2mzzeVJFZ7a0/+dMYoCi/9Z5/d/+4bRyUYsLx5T +wFoswLt9pBJZVLPtKc8poLU+rlonUImlW6aP/ZdIgQaeVYsR468YrMrMv5hC +i+coz3fq+hecElCO03lHAf7I3fnZBRWYW5Jf7Z1DW//MKyDxXzm+5fCwUyyk +AFfOo0PlquWY99zT+NYnCvAanNE7db0MW11EeozKKfDfXNXyr/elKJp6L5Sl +igIjXn02GZOIDrwpI+d/UiDq+V6+yOjP+M7b0GN/AwVEjr92IpFL8AFFvL2o +hQJHmZQoG7+L8aZrz7O8LgpMUo/pCDkWYXySZPKTfgrMKUxrW/J9RC1N2R6p +UQpojs345jQWIlecAcpO0/IJefefQXABqin/N16+QAHha3mWJ8zzccik6sWx +FQqQwzffsNj9HrMNLyidppeHs7ykD+/o8/CgxDsHZlZ5MCf3fJIZzcGma5wX +d3DLg6W5dlFYRTbGqQVO6wrKgw1vnkz/ySyU5f3kMScmD/rn7SRXLDJQO+pX +obysPPwM8+Jz10vHGNtpgVl5ebjvlLoj3zYNGyzyNr/ZKg/MyVtM/j19g+HX +Cus6VeRh1dZh71pxMjaKb5vJVJeH/l1rVik8SdjeNKLPoC0P39zJr14ee4WU +ipf82gfk4cztc56R6S/R2jC3lO+wPLD81jS/6xiPoS2391w4Jg/no6Y3VdnE +oUSgV87Jk/Jw6VrWM86cWOS6GW7x7TTNfw4fhk88womNDmFZW3m4KVBtFXw2 +GpMVK85wX5SHX1odRtKyUcixr1nEz422/1lue9O7cKRsPRkWdEUehrtFH3Pm +haDbRqTXZR95GH2j4k8vGITHTL709frLgxFHRGjZ5TvousmmdCBIHrJuuvAc +yvdFwvjDNtdweeDi944uf+WN3hp+Fi3R8mAidnys5T9P/MIe9a3pqTwIFZoy +Mve64nz0uUDzl/Lg/6Y5oibJHuOd69tcUuTBhdSw9ZrRWTx+tfyl3Tt5UHnG +KMT14zia6tv915lLO69friMnmw/i9EBS2vAHefi3L7nOjnEXCh6kj7mK8iDn +denz6WwBtKj99OzRV3mg875yocQo6XO3bODIo+/yEHPAwNXAXADlbFBcrJ6G +JxfnxvWcnXj2QEje1hZ5eBnlUOn47iC2i7HEfe6Sh/GX2zviWo4jg65OtdqA +PPwRrmPZ+p81GhhPNauPyUPoBSn/LGUH7Cy+rlE8Iw/p1L4kw0A31LZ8vP33 +Eg2f9XB2Sqkn2nvO15SvycP+GFl4n++Nn/wNX+1m2gT36ZwMDxX4Yqrx9Z97 +ODaB/7Ef5udo+I7mZpp+4NsEIolPz7+UCkJq64dLoqKbgPpt5tZfllCc5A5u +YJbeBF6udedKFsKR886PvR6UTbCx4X+JeiAKx9wm/jhv2QSl0QvwLDQa47NP +OPTt2ATPeDNHzbY8xgmbro/q6pvgTJBy082/sZhVmhAgobUJNheXVI9HxWHa +raxSb91N/1fBlYdT9XVhiWQoiSYUhXsvLu6AEj+tJkkKCUlJSjKGoqRSNJAM +RSUZkiFCVEKRRebIlMiYeZ6ne2+S73x/7uectfda73rX9JxnH2CFVQVIvozG +n+09D8/rykFe+QrmcPVLdJldb8Q4IgdeHWMFGaavcN2Dlwsex+TgsolHUp9I +PPbPauGhE3LQbbyi4GJBAnr/K1cMt5KDYouFaL2E12j0X0pI4Xk5eLZtUD80 +NBlfMsLzvBzlYFJQ/GCxSwqKv3rTmeImBxuT9Kkx99MwxCFCyemqHJgy60KF +AtJRkPRIWeimHPzJfv/tZUUG/k0Suy11Rw5u7iuSFh99h3UfYweK/eVgOaPy +SCn3B5SS37K/PVgOepxUbUU1MlHgzIy63xMC/xVWx92sPyLJRL9/zQtCf5Lv +I57ALEyq7O8SiJUDZnQu7cWvbKR2bYkIT5SDE191FmDdJ7xztASepsqBdAZb +a/Xlz/iiRui3y3s5GLLfXyLRkItvZD/7dmbLgcyKQm8tmS947slvm/wvcmBm +tUKx/Ek+inhacjGK5OASt5D9qXnEH4Uds77lcqCj/DFNOKUAzTLf+O6ploPD +B7nNNZwKMehprXL4D0LfHVn13nJfsTWJR968WQ58U978vDf5FeXJQTOsDjlI +PSe+c0tuEYb0Ctuq9MoBvaLHeeJaMV4+zXleOyQHOXs4U8uPlKCQ6A8QnJCD +Rhub2dZ1pchPyTwiNysHfILPvM3aSpH8MCDmNUcO2ig9MQFZZXiuRJJV/E8O +QNUsPPtOOd77wWV/kIcEvswlh7/nK5AValhgx08Cxr4Ap0qtb+ipQt5vv5oE +4+beY5/WVCKTd7V/rSgJOjKUreQ4lRg9aarbsJEEgh7mbacbqnClRnWm1hYS +lDSrZNsVf0fD71Ob78qQwGIp7Lf3+2r0kVqb7kghATvqnvb7xzX4MFEmO1uJ +BGoPeYZ5iPodmKZf8opBgh7RrqXg7FpkrJYMvLidBKdOnHhQJF+H5zXY6dFa +JDBs/O/f28Q6zGuevnhxNwkmzXniFSXrsfPMQGqsDrFf3Ds378h6fGG/J/7j +IRKM9c+YuxD9h8W7W9WOhiT4y9q1O+nxD4ziX3brjgkJZpMb1zWKNSAseWvA +CRLc23BYVDa4AT2UX+ZanCZBJb/D4dtiPzG//ajQiXMkUJQsi9kb/hMzjLqG +Fi+QoCCbZ3mfaCOGNjyo4HImAV+4h8LbiEYsdLi77I0bCY5YOsioEv2XqtyH +mO1XSLA44Zfa8qQJy6/9DJO5TgKJi0mML5t/ofwux13Zt0jAs+eiuVzUL+x/ +R8lJukuCdcV3eFvJzTjd0l598wEJLp12eBSf0Yw5B58MLwaRQHzZG9MCWgvq +Gmw1bAkl8NqplbMjrwXFbn5zsAongZsgy3KQ6D9jRb3vV0WSoNN+q44HEv1o +VeXtJ7EkSNfhd3U61Ibfw4VM+BNJ8GYqfsK1ug35ApTTm9+QoLtw9bHhk+14 +wJxzyySdBEJHljaYd7cje0U2PecDCYRr9ybcselAabdt267kkODXNE+74mwH +Tlnu9uvOI8Flgc1iiZ6/cdQ9YzK5kARXBSdyjHg7UTvU6f7xUhK8EhyqSyL6 +d2O3rTczv5Eg7LS2vTnR39v/cT7iWEPY65GV/p3o/1fnai/U/SCBDu3DwmOJ +Lkx0+FEl9YsEv9/K/5Oy7MKYBtmTFW0k0L77kumW2IW8PzVvbe0igWpysRH/ +cBfKjhjq/uwj+MO7hmLL7Ma2qwU+rsMkCKJbOd336sZXQTF/8sZJ8Dyo+mFX +fjeexa3rzs2QoNrky05uYv7h3l1b8plFguEl+7+mxHwkOdiyxukvCSrit5a4 +Pe1Bw0MzjiuXkYGSfDJW53cPLn23bjHmJYPU9KVsHWL+ivR9PfSHnwwr3pQc +XuXUi6uENPWPriZD7qnUwYV3vXizjXdf6FoyDAhWUyLmetEiXHJaZQMZRvdE +BC5q96GLf4XRDQkyeLvt/OPj24e7nlo6k6TJ8Easf8v3732o9eyY2SdZMvC9 +8bErWt+PY2LrmIsUMlRKnY5vOtGPq5dNtUUqkaGNKu+THt+P18e+RY7RyWAg +dUc8boiYTx88ukhRJ4Npr4yDttIAqvQ5y+NOMuySOVP1wmMA37M/kfh2Ee8/ +ilq1NncAvZ38RVP2ksHo/Lmmg7yDGHbwNE1DlwwV8pN3yUcGcWTwUfxVfUL/ +M1qNko8Hcfe752vFjMjgMl4l8IOYz5+4VHZbmZABnnxXfUnM76Rc04/8Jwj8 +TC/mKjkM4fF9YXWRlmRwsNIJPE3M/y+SVpt1WZPh7db+7tfsIfTINe+6bUuG +X70CThl7hnFGNqnxhwMZwsrsLGvuD+PlioINa1zIkPci+urjymFMc3l9Puky +GZwyvbevWzeCQ5sPKExfJcNB4+1f08xHUN9nfmX4DTKs/HFhM2/8CFqt8dSj ++JAh7mMqbWl0BO0NjdbY3yPDBF/mutX0UbTP2yqyMoAMQtnLq5qvjaKC86t4 +s2AyfH5T6fQJR/G956+sR6FkuJu1deXO5WPYoaXBoISTIXqlcdBl/TEs3fbq +mEskGTrOS+YUhoyhUqqW0LpYMmT3+/KXtoxh08NL1x0SiP2oXV2tUuNYeMkg +PjWZDGWX0yWCz46jzmBwmt5bMiwFy6bPpo4jj3uPT8R7MnRlz/nZT42j7e4Z +7wPZZIhtvKkTpDmBqbYnHv7OJYNASETdwTsT6LFW47J8AYGv3IdosfIJPOEy +MVtbTAbUblhdtHISl/Z9sFKoIIN94sIgt+Ikygmq/3H+TuBDvRkfqD+JCbp1 +H0TqybBI8zk14DKJNekzFucbybBfMYy3/PEkVudMP9nUSgZXPtbipexJzKs3 +9Mr5Tfi7kPrpfOskjpsm6fL3kqFUpedG599JDJ9VOvFpkAwxRZs/SW2dwvj+ +QVOJMTLIX7X63reHWI/dp7ZPkSF0PNzO0XYKZ7+YSAXMk4HDtv+hFjCF98Xz +O4f/kCEgIOjHvdQpFDEXMk9ZIvjfXdodUjuFTXKM55t5KBAR9fPRtukpHHu6 +ftBrJQU+3lpLZ62dxsLXzzs0V1GgvYQWeV19GoXn9c6niVDAU7aAFGA2jUeS +fljeXk+BHV91r+z1mkapSHPJPRIUuM++tH5H9DR+u9u5JVOKAizHdZzs/GmU +zpD44C9LgcULkUebuqbxUj+34xp5Cljpv0jw4Z7Byn6pZF8lCuxp31PssG0G +H4wLJlkwKDAWMKc+tG8GX+XdO92rToFOPr+8uXMzKMn97Ue9JgXWikvSA+/P +YJTh8++uQAGL20ILma9nMGyPfdDiPgrE7Z7k2lA2g3phU9aTBymgYhD7aX5w +Bv+lFimEHqHAvwAmj8PKWcwq3SEVbUyBVPu1PUYKs2gi+jT96XEKSLw3eGZ3 +aBYvdtbc0T5FnD/JoUnYzyLLsIvP0JpY27+IUwmYxSJnz6trbClw988xzc7k +WTwpve7XFwcKKGv3apSWzeLfVyJ2jS4UaMmXNf03MIvizxZEo9wpEGzrR23m +nUO1rd4qtdcocMH60GG23BzOyvoJT3lTYE3nRMfu/XMYXZ0hhnco8EemdUTN +eg5TC04oLvhTgDIRVXDi9hxWrZYY6Qki9qc8jFeKnkPPq+FXPoVS4DrrjwTj +8xy+jRP79C+cAqeu7ZX8//3/kkb3oIkoCjxsfuWmODuHOtE3zxvFUWBmG8NF +eO08plzp1bJMooBDzRq1dKV5zPk2+dYsjQJXZ0kDN3TnMYj7YXXRewK/jI2e +u23m8WrO2ffN2RRIP+/4t9J7Hu+cXBN+9gsFNhpfub4jch4jLxSWtXwl+LEh ++UBp9jyWl3hZ/CmjgKSEbRa5bh4FN/2ICv5OgWO5L02MRufR/XfRo6p6CjQL +P/h8jJeFyTl7z881UcBG3yPh7mYWKgbI+Dxpp8CVq3M657azMLr1Q1NrNwX4 +eya2KRqwcNjtSG7EIIHv2J3O/9//v/ZEgktnnALd9r2GfLdZ+K73HuvJDAUG +nYu333jGwgcC/wlYcSiglBkR5pTOQhVTYfb3fxTwk+m3tSxh4WKbFiObRx60 +dwaNvWtj4d+2NSIPBeRhi8KI/7YZFu5Ni1dcvkYeNu9S00zkY2NIKS5jrZMH +u8yECsfNbDzbnEN/KCEPn1vZqQZ0NprcEdkvuFUegjpat1nvYyNfprLBSrI8 +BD4MvvfsOBsjygMFEqjysPfndNWiPRt3kDbnFzDkwd9j7KPjTTYa/U11K90h +D7sKR6QXQthI3l3AsNeWh/9+dlbXxrKRl3SQN2ifPJSv4PV8+IGNij9FZ47o +ycM7g2dtEUVs3G34nyDbQB4uXku//ruejc4ebuLbTOXhmJLN14FuNkZV6SYM +WMhDb13YaospNtKP9HQpW8uDumhXjjsXB5exs3PWXJAHSXvzIb7VHFzS2BHV +7CQPTTajZQ3iHGxqdG2TvSwPe7ZcVZSjcFDc5PkW/mvyoGBQOMynysGOTcKa +Lrfk4Wpv0z9D4KBBRsqy/Hvy8Pv7dr2SQxzU8HA9EPdQHu6TFX0GTTi4mi+7 +SjBUHqpq2uz1rTjYOc1iCTyXh7OfilY723Hw4+85qYloeRj0P3D0lysHrSWy +s00T5OH5X+N0y2scrD+47oVxCvH8Nq2q4DYHTbgKRBveEfJhTsPS/hx8I9nR +vyNHHkr7btNygzn4z7QY6fnysOiTQDd6wkG51iXht8Xy8OKrw3uM4KDkxdD3 +X77Jg5zZc9edMRw8Z/suw7BOHtwrTPwvxnGwhXwqsrRJHrwde7mHEjloYSb8 +rbpdHgxLJM+GJHMwR/5nv3mvPDxMuBBXlcLB8uOX0jyG5SE/Vueqbhph79lG +mvukPHgapjb///u/YmWZ8Py8PJy/+Wvh/9//2+YGK/kW5cFW06JIjVgPGIna +vliuAH9U+fu0Cfnbyp9KhQUUwEC32DKe2D+hLf2U2BoFOEnB68HE+W6n3Gef +r1cAkf39h98Q+pm6upxLl1QA1uKm/04R+l81+d0av00BNjR13XhE2Of48Jix +uLwCmBwbl/pB2E+1VD4opaIAV6dW3Kgl8Dmd1OMSp6YAncLeuUjgt4q3/XeW +pgL4rbjQdIPA98ZxqcvJuxWg+vCz2999OJiSjoubdBVA/M9flXHCP3P6rE+b +jihAouFU3LJLHNzTWeT89JgC7Jm/8K2S8O9IV6Ta1AkFSJ6wPCdN+N8x/ZtB +q5UCTNYxih+acvC4Y9kE2CrAfn4tpxCCP2ufGY2oOSmA4CatkXyCX9F3UIj/ +kgKQWaAUrcbBKU1vdVNPBSj3UbVkEfxcWd9OYnoT9oSESsRIEvj1So353VWA +JhFermSC35KfLBpyAxRAX9+MbUnwP54TLOr9iMCD8k/j/jQbg8c33fr0TAEk +Lgw2zhHxM6tIenonSgGsld5EDP1gY1zjSjulOAWoJLsvvi1m4xXe6xWHkhUg +JSlBIZaIT0F+WuLCWwW4Vv/WdmccG0Vj/vss9VEB5Heneo8Q8S0nGf/552cF +OLVHbdrEm41ua97Y2xUogPMz9WUJTmxMfDz1+mKpAnzOzsmcNWdjfJFq38oq +BbApVHm65QAbF5MKjUXrFWANe4WUOoONSiSzl3+aCD70qs7T/p+fOGbSHu0K +oPx2uSOJn40V5cPiZ3oUIH6Dzv7ZaRZa9HtPdQ4qgNPxXXnf21m4v+zgjPY4 +Yd+BvbdSy1loVlJULjCrAJdNxfYbvmNhHU97tSNHAYylbjptfsHCBe0PJppL +CkA6HlQV48PC64sXnAd4FMH2/I2fZHsW6jvUqisKKELv0Drf+aMsPN/+30Cz +sCJMjVSK2WmwsEd2mdDWdYoQohd7n76VhTmP+2rqxBWhgcJmBa9g4fuj3l+s +pBVBIpjiykfUj7jP4tn+copQW/edM1E/j3xXXtWLKyrCExFln9NE/enkd6nT +oSnC71YjnSNRRH3a+yjpqJoitN9Id3XznccShod6yU5FiFzRBmts51Evh76r +YZci/HjKG7hffx5bLdN6dfcrwlBH4PUh5XnUlNktF6WnCH4Bc8tLRObx0sHv +/sEGihDTKWRqMD+HnLPGu/uPKcIZ17xKLaL+XnN15bSaE/Ztr/yvL3cOz78i +C3ywVISk745x7TFzeCe5Yv/YWUVYaHXmUyHq++TYAanyC4pg5uRYYXBuDnfn +nlHndVaENQVG1MJ9c1gUdLGix00RWli75oTIc/gzNiMx/ooivLsWVJzFP4e6 +vE5Yel0R7q68PJk0NIvk4ZLegNuKYLxEK71fOYuP3/Z4vrunCIm5l1YmvSH6 +l8KVdp8CFGG5jtBJ+QezaDz6fsImRBFCpcWTkhxmkdnkKuD2RBFknil8ttab +xWuvTwXJRCiCp9ZAqYziLNYGqQt/ilaELxk3+8yEZvHXQ3f7tDhC34OuswXD +M/h4XWi4bhKB786e0F2VM/j3bBP/njRiv/eN5uNJM1jxMT9R6r0ilCQneYgS +/d2GxN1uCVmKMDi4f9bCdgbbY1NH/HIVwaV3zrCW6A/t+lYeXlegCM2HZNdd +lJ3B3dUH+/8VK8LWKU222rIZTEzY9ul7hSJceBsuc7NjGk95uaTrVCvCTol3 +1n+/TKNtHJer5A9FKKvUSomJmMboJ15/I5sU4dKvZerentOovtJYmKdNEa5y +xZ0cPT6NN9RDwss6FaFc4KDidbVpTOEV56j3EfbsyH9vJDaNu0J3+XEPK4L/ +8NH0A5NTmF+fM5I5rgim3dYHqqum8C4zT1psRhGWWW/bH5cyhT0PXQxqWAS/ +jb4cfXZ/CjPZYQ3b/xL4jXMsO2ym0Ouso7ofFxVO/1DdjPum8InjoKMqL7Gu +0u77Ij2Fzwd/fw3ip4KPka/Z+qVJNJz4WLlvNRUEA7PqA1omcd2o8VL3Wipk +63FnR2VNYmX+GQHaBirw/sd3UvjJJArmaeT/kqBC055NnVHE/CK9UvMNTZoK +ilHqBsFHJnFyqy+tV5YKdwwilKPkJ9FN8NDDW/JUGBM7s/4w7yReUMlMqVKi +wmzTYFl56QQOZYReuMyggm3WXDDtxgT+qCpjVqlTwfLB0ymHHROovneb/SpN +Kqw+toFnbnYcx9+GHHmziwq/w9gXclKIee7kzjesvVS4fW5prantODorZn58 +qUsF+2NUqvLmccRlQ+eZh6mg8udOmlnDGGqra3R6GFFh+P6LICtifpy7sF1y +vSkVYkPTRRN0xjAxXkn//Akq7MSdXyqXjaG9sp/nS0sqOB9hmx7MH0Xyaavb +6mep8FI3bT2P+yimhLxPv2FLBa21KXLxtFF88/oySjtS4XpFYsXt/hG0TOYW +cnch7AlW26YTO4LT+6TufLhMhXM7Uw6fOzWCrz9fmTjsSQWurC6FQtERVL+v +k/X8BhV0rIter6gZxkCbL9d2+1CBuWmXb8CdYfwY5nLrxz0qnAi1eOSgOYza +Em0j6wMIf0kZd6uyhpBpedciK5gK1ovLW/5LG8I19nVMvjDCXusJU9qFIbyt +3Wq8J5zQp1vL/qbsEEbI/3etOZIKwVzXzwi1DqKta/GqbbFUeDsTK3rn6SCm +To+/LU2gQtYv5y1a+oOYfVw1weQNFcIonk8Mlw9i/pKZ2NO3VNjcLuRrgAMY +Db2JjA9UaNtTKxfiPoDxu4Xzb2VTgV1uuZBLG8CeT1prFPOocM1Fh0uxvx+l +3Y90YgHhfxXRJXZkPx6MXtRdUUIFr/Ja1w/H+7HiXqVkcgUVhpbxbcxc3Y8T +vVXPF79TYdnea/+9quhDromaj1r1VIirfOY2dbcPFziL1381EvhlWHY/0OpD +5/y93KRWKuwRo8qJsnvx3KZKx9rfVKhpCtIfSutF++mmrza9VAiqvWohZ9OL +4joVQ6mDBN+u7/Uw2tqL+e4HLYzGqHB4e2tPXVMPOrkcSHs9RYUtLnlWe0N7 +UFx0g+DQHBWebs76LWnYg9WHReT9/lDhhYS22lG+HvyU+5De/I8K1DlTM8+i +bjxnZ3fGb7kSHEjXnOO+1o3bZYzvD/Epgd7wgoq3WjdyvLeuVhdSAimNf/x2 +012489nyE11rlMBSSuMlJnfhUxm1Z9rrlODeiz15K893obVNt9TCJiUgneU+ +WybZhW4Gss/itijB1XbdLYsNnegeen90cZsSPN/x5aLs4058dHjniRyyEnja +6s9UH+zE1k02cbJUJVDQIEs7rOhER2lO43WaEuwuP6K/6PcbbZNXc6urKUGh +uMmS7p8O1N+g1PFSQwk6Y/H+JfcO1Llr7uikrQRKFVnabX3tqLXoFEraS+zX +EHtX37wdB1JjqI8OKEFZjkFmWGMbbol7dN9SXwn4Frfq7j7YhqEe4zvbDYnn +Avp2RSWtuOq53zFdEyWwvWT3Tnd/KyqsuGCw4YQSpDgZfbf43IK3Wpz2P7NU +grXcFfMaGi0IKzMErp9VAke3spflac14+qTkS5ELStC8am7vFmozppyS7Q11 +VILI6ee2d9N+4eSDdbuuuypB7GLNy2rZX5h8bHBQzEMJlkQHX9ckNGHIJma2 +mJcSqP/nuKtNsgnjD8uv4L6lBOIag1xbnjWiy/c/PDF3lKAut5WfV6IRlyvV +R8T7K4HBh0l+5pOfuFHpXZh5kBKca2dz/9v4E5fOJHosPFaCWakvvWMxDehQ +rWrHF64E75LbUs9ubsBLyR8WCiOVYOrxzUnL+B/IVvlXPxCrBILH+ILFtv1A +zz3etguJSvDKXfW/TXH1uPHxcG5eihJMkKer7ynVo/RXianhDCUI/VFpzX5b +h2sTP7uWfiTwfbuDUqpRhwpB58XX5CrBJUb14YbcWmyaPxR4oIDASyqyZe/u +Wix3eguKJUrwmfuUza+YGiSd6Km8+U0JqmhG14xSq/GGX6bAzRqCf5MtnyUq +v2NUwKl/dg1KcMQiOsB2sgqjX8c4lzUrQWsx9eED3ipUaJiyqelQgjtvP0Wn +UCtxuuwwQ6+XkPfQP6i67xuqfR12fD+kBGYNrANJ1hVY0H+2o2hcCc7bMs7l +Pi7HWpnB2oOzSrBNW/zj3w9lmDHvc/gqR+n/91380/tLccWLsI7H/5Tg47Zn +mdNSpVgt0v5YhkcZ1g9HbaMdKsE6bWc7C35lYBX1HHK7X4zyOdapAsLK4Kmw +cqdZVhHuzX5zxEpMGVpMfWME5r9ihcikd+wmZYh2iNt8XOsrXtxbnGEhpQy6 +tweUKR6FGHoz/stnWWWoolSe1C0oQL74NcvDFJQhiEfUUWcRcfuzPjCnKYPe +751kOb98vNzOxEI1ZaDKVHv7M76gTtimmQRNZfh8ijvEqCwXhcpWh1B3KwNX +stQFMa/P2PhfnUuUjjIUP9T9OKT5CTuO3TYL0FeG9rPLzq4bykZbhYV/m44q +wz290WPcmVm4cjb0M+m4Muxozb/y2/EjOiko9G22VIbHXOYG35mZuAGKFTLP +KsPlmMlKuQ0fcCbGLqfCThlOtPtdCBx+hzQHPfcbF5VBMnrC9H1zBgr+CLR4 +564M/mdkMmnu6SjwTPFJtZcy3N7ANTp1NA2Da6yP+N1WBtXnvqZUmxS00R+Q +K7qvDOe1N5dYnk7GZt/Mp88DCfvjJCP9Hr7G/IFzD8xCCfmnP4Vj6xNwIvfO +yWfPlSHXHAfEeeOx84WIomuMMjTleT80tH2FOds8Xb4nKIM0/5Wjdz+9xJNh +98fWpCrDyfdn1uw/HI106aGm0XfKwLPM6bLgrRfou2ow51COMtgrLrRIRIaj +pbkoZU++MuQMT3hsW/UU9VeMiW4pVoa3NFdoSQ3F11OHdG98U4Y3h27rce16 +hNry8/Futcrwup/kmvlfEDpdcrk30qgM+Y2/3qlN+eP3WwZGB9sJebsTjY78 +91B+ymdIs0cZWpP5Lp1K88FQi+Mr3w8pQ9Sr5UU56Tfx0dhJjbwJZcjgMz65 +Q8gTpf/GThycVwa5jBGHLWGXsK8zZ1vuX2Wovz60tCvHCYUTM3chtwo8O3pg +WbvYebywu/6xDr8KLGiqsAxIlrjb6BrVSlgF3ASedgZeMcaBhcAFi3XE82/n +tY/e0sGlO2MBLRIqkMN1RV/yGhPz/g5fH96qAtKHNgXzZojhw1/Lvl2nqADX +rdPCtenx+Xc8f52aVFYB3bsJrlf/rcO1C8sfzaqqQLxhWKXmPBPdzZNPXtNU +gfXOXqt8JnRwUeP+iZDdKnDrJqu+dMgYrXK3ldw7oAKqfGWkkIuWeOZ6Q8/i +YRXo/JDxSUr5PNreWp/Pc0wF/Pr9Ppo2O6HAdEtIwAkVOFK/Q2VDziV0Uglv +jLVSgY2PXiWSK6+i+w3Ok2fnVUAg0HN1hvFNDM3/SxFyUoEA1qG5XE8ffCpX +tyR8SQV2uhSXO7TexTVnePGRpwoYVhf8p9joj6LBO/qnvFVAsY83yVM4COnh +w0e67hL67bDzFZd9hPqmFlqHH6rAsgS5bZuehGKar4St7mMVcBC4RlrkeopW +ykqTW8MJfUluGwJ9wtFkZXCoR7QKWOtVHt1l9QK5XuTmn4hXgW+K1Q4DKtEY +tutRc84bFaBU3r4xmvESfxqE7Z3NUIFtngvcDiavcGy2Lg2zVCBOuGaF1Xgc +kt+Huiz/ogJi2hapAnkJaB5ue6npqwpkWjR/+O71Gn9svf1gW4UKPJzKPSZ9 +KRmbXpJ99WpUoHx46bb7pRT82KN5ZflPFbBqrjK7eiYND1muqKK3qoBRpHPw +sQfpGG3seneqUwVCc29Q99dk4HXjjyNB/SpwjEdYMLj1HbbF3l/xcpSwX6RV +p2LFB7Szzrq2d5rgw2AQK1IuEzNssg6Yswl890wsRJ77iIHnng8e+qcCPaL5 +dQMJWXht5DTv0DIa7C50PPTpVzZ20vsv9PLQ4It6V9EmpU+okBP+K5mPBoHf ++StHXT/jy5smBy0FaBBfdUSoOysXb8oIqumtosGrvp3lKVu+oFn003quNTQQ +kYp6u3Q5HwU9PPeOr6VB5T09HtoEou/5mYLEdTS4Pz8X5JpSgLElSZeObKRB +13tVarllIfJpH6FQJWgwllBcGCn7FVmBKTE/N9PgGjtwVr/zK27JinLPlKZB +tHeJ9OTbImT41+/ykaGB36eiwpU3ilHdoeqJJIkGa7a0pEtCCa4QXnNnhEKD +002zTa/Wl6Lm1V/n7ivS4MxuucPpXaU4911kpagyDUQ/P/yrk1KGPcD0q6fR +IDI/9OtXv3KUsC4qPc2kQWqUNXvBvALDEpwMpdVpkGOxosNj1zfcTJSa2h00 +SArfZ+IkU4nD64MZBpo02PSt98jpP5X4fcNafy5tGpxKsXGZ66vC4y297beA +Bnfywyu3Fn/HLfMn0qf20GBwZovxUkw1rro14RO2n/BH+hfPXyE1yKNnK8un +S4MI176Kao1a7IyL0kvRo4FpwzGhHx9rsSOuNU3qMA2W+ZXQYxTqUG00bPld +AxrYSBu38EfX4T4DMxOJozSwr35jtFOyHv+m9Kf7HKOBZec1mf7H9Xhw+Nxz +cTNCv69Kqe5iP/BHJ/+VOHMabN++7suzsB/YdUuP9M+CBiecv2wfFWrAc4IX +x/0saZBWsHxyd0gDFtktzPRZ0aCKo+kTL/gToyyXilTP0uBPAZP/yN2fWDpT +rPPBhgb15kxYK9CIndzzyHOBBgwNkmDCvUakyRo9v2tPg58+hl62q5tw4l+C +IduRBhW1m7jPhTXh3ZPvP2hfpMHXzrB9cyK/MHubs2ymKw1u/bdvx7eIX2hb +I/9y8RLBzwTfp+skmrH9ftWAuwcNYh7ueZ+Z2IxZtiuedl6lwd0jBxNO01pQ +c5PTrJQXDXy7ZyQN3rXg8+SCzsAbNMg9XsEb+18rWmYdaKj1poGuqLrT5sJW +3Pw4sW2FDw12KeY+ct3Thk9bpHY73KHBv3AFM2ZNG26rNtVMuEeDjYLzV+eP +tmPojO2FVf40ELsxcobe3Y7LnNg1FwJoYDab7bf9Ygd+Mo0/GhFIg5a8kpub +ZjpwvfnRAU4wES8246bXfH5jSZ5Qu8pjGkwG/ldpxNOJ8zPyz83DaMA6ZBS+ +Q6cTnz96cuTdUxok3DvzuiuwE/u58ut/h9PgnfyV1Z21nShBktXSekGDzmtZ +y+M2deEta3rGxSgadFu7/ffhVBcyG9v3P4uhwUv1VBn/l13Io7z742gsDZQu +avyQHerCv+0i/pviaZB5sYOzTKkbbdpCqJcTaXDexEQg0aMbFX46ihcl0eBX +SauGAHbjBZe6L0NvaHCVzn0ogbsHZ1Zr07TTaPDo+Q0mn34PTt9I8bZPp8HO +ky2jESE9GPhFxD7gHQ3mztw63/ujB2cvbya1fKDBzKXMI7OSvZi3m3+BK4sG +TDXnk8pnenGEuiXeJIfg89Y0D+WUXix5+kcw5jMNpB8Vpv+e6cVN5J35BXk0 +uGLYVJy5vQ+P5c4fWoM0GI0rnz1yuw+FmJs1dxTSYJvQBnGB0j7cMVzEH1RE +g6jna5v81/RjyJfo0l8lNODp9snJNO9HuHWc728ZDS7sv7rjb1Q/MiaWVA9/ +o8FqvteRKwb7sTDv+rxHFQ1KJ4ajFJUG8OloecmTaiJe1yx8Y7kMYK9zv193 +LQ3I/g+W7n4ewGePAyb4ftBgwX1DK3VpAPf813rE4icNvP7tkHlycBBX5ot8 +i2si/O8Z2vDq8SBSXKXmi5tp4Ooi7FvWOIh7Vj3dJtZGA8Un4Qmp0kNYnbGS +W6uDBhkynd5JNkNoKpzGY95Jg/H3Zc26yUP45pUHf3w3DV5HCLsmTw/hhb+9 +X8t6aRB0QyZAevswbjl4y3vTAJF/vlMKzW4PY7pLzvTeIRps/rhMM6F0GMPC +TALPjtAgtu592lOBEfTpPUN/N0YDdSXeyweOjeBoWuejpgmC38vPFa16PoLD +kkI81GkiH8/7WT7uGUHKQ/kU91katCUEwSfqKAZGe2wInyfkuW+EzrqMomFb +xutBNg0+rqEMjXweRb+u1zxrF2hQUCnzZX5pFGMNXybQFol4CybPxu4dw2WB +unduLNHAY7vuNG/gGOrkye+MW0aHoQJJyet1YzjsMrKftZwOw1+5uZMkxvGJ +TUUWrKDDQm/uFpdz4/iyOWjl2ZV02Ns0qHAwaRxHuaNbPgjQYc5/4mn91Dgy +O3uetgvR4XieRb6D+gTyuNdV/F1Nh5mbgc3RnhOofvt9hp4IHZbvMSn2+jqB +LZOJ911F6VBpGFLLXD6JCpq3d5Wto4Or99qyBfIkunZmTMxtoEPmv2cJenqT +WO3coC0uTocaR7/2HodJHHvj99FJkg4tD8qC9EL+/z+AV7IRW+iQ7/tj/Y73 +k2hTw2LNSdNB/x8vaaJxEo+NFKYckKED5f6Y1uifScwvkzB2k6PD73NlFi6S +U1jsG5lbRabDbLRubzZM4XgHZyOXAh2WOKN77llP4YYeMXN5Kh1MxxsOR/hO +oUYnudBHmQ5NbZmd9q+ncO/GuVUfaXS4uDNKtqh8CnetV27ewKRDqoipWP3o +FCr+XWp2UaPD/PMb/dJrpjHE1Cnx1XY6cLFOl7Np02iZXHGRdycdVtmdi7h5 +bBqNvXwWQIsOnDcLPwPdp5HpLzSapk0H1fULWXufT2Psv/V6IrvpILlNlkct +bxq3+z/YfXgvHWTy/6z8//1/EPJn5O6nwzVb1b/flqZRr0MzZZkuHXq/3r3i +Ij2DaiMKztp6dAjy8VtmDDNoUJJTmqZPB1+NAxMl1jOYUvPJae4IHUi8Zm/K +fWbQ00dM0cWIDmaOKhuPJsxg8Fu5iC5jOlyX2ia3pmwGP/Jkr1E0pUMcbzTV +un8GeV7UGyQdp4P40M8J6spZDHenXF48QYc3YXXnAsmzOH1EaMXBUwTf3iwM +y+nM4pz05w0Vp+nw9LaGHcV2FiU8HLKkremQ9USBt/TuLOYLqdmnnaPD+CkN +1cLEWfRdz3VDxJYOe3pCW8xLZtGvmSnoaEeHir01W8k9s/gn5tulvw508OSP +aYTlc9hNjas+60yHC0ZxA/+k59AoQSNhmSsdRJIfUxZgDu20zM48vUQHvW1B +VdJWcxg59DJkmQcdklrzaqavz+Hvcq/V8VfpcLnSkGfixRw+eSZbIOlFh5FK +MfMXOXMoFub6K+AGgV+Yhv/VhjnMNO0TYd4izrNKlXGYnsPSWx4T6T50uO1t +67xh9Tz2Xg94anOXDhYvB9vKFOextOgL91o/OrADmg9H6s7j8eAH7qEP6GC1 +McFH7ew8zn9we3gkkODj/U0yud7z6G4XY9oRTIc7TLMSgYh51Enc5Jz0mA5y +MqnXmVnzaLX9razdEzqMft3vd71uHoNMH7YtPiPw/kbKjhyeR/ry0E/fI+jQ +qloe68XLwrk/pzVdo+ggL2/Fs2MLC9cP878SeEmHsjsq1lvVWfidJcD6/YoO +1OKpS28NWNicpc/wSyDiMb2pl2zLQuHPr5Yck+hwc+XyIuVbLLz43XXqQAod +UGMy2DichVW/Xi6bS6PDs7Jrru5vWeixP93mdwYdnNoPfF9RysI7l257vfhA +h0TpRa+oVhbWhNa262fRoc1z8IH1JAvDFut1tT7RochpberVFWzM3Lk58m8u +HYzYzc8Txdkod7Hz3kI+HcyNWZFCNDb+S59qnSikg8LR0/se7WPjV+qqXZnF +dPh5dJXFHjM26oosa8ouI/JVn12AgAMbt344KRjwjQ48TTbn+W+wka20Yybq +O5FPyl8k8oSwcUxuqTihlg42VsJkqVfEOmr+1PUfdLAjHdK6/56NAz7LVUIb +6XBGeulLeRGhn0T+kavNBP73GM/O/mCjfVe3nVEboT/zu6BaNxvjz+UrOPwm +4lVpG/nLFBttTj92PNpNh89hx45lL7Fx98/YtGt9RH5+SL13aDUHM6S+1D4d +JOJVonP/CkkObmo2fO09QodfZOORwxQOci2rqUgep8OX+469AmocPMMfpfxy +ig7uO8/dtQZC/sSPx/6zhP2xXsp/9Th4ZW7H9UwWHdw4Fyr6TTgYejZq/M0f +OuwzGKf7nebge97Lwj2LdOi+xcc5acfB0TsnDvdzMeBCZnY6y5WDY/YNI63L +GbBh85hSmycHl7cv1xPlY4Dw2xNqNbc5mKynJrlOgAFnTr72T/Tj4N25y5+P +r2JAb9xWJedgDprM8Z4NWsOAi2LXj7k+4aDkKuHOQFEGcB9+fsgzgoOxeT0i +NesZcMKzVkA/hoO7SuYLmjcxQK5JSUc1joML30Irf0oygK/02UnPRA72eqRZ +iUozoKi8y+5cMgcbTEJnNskw4FX6av57KRwM/lAwfIbEgElb2620NA4GbP5c +GSHPAPvlvgv///+/0dCSZiSVAXX5jL3///4/fImW36HCgNJrEmrbiTX7Xv+j +IQaDmA9LnU4Q8mG1x5hq6gzQ+MCSLib25y+W2eiqwYA+HYlLD4nz7/3l43bX +YsDpY0ZHewn9BKlJez7tYkD/L0Ox/9//l6qxOlmyhwEGZ5OmvAj7fFdonCnc +z4DrCmPJki84KHE2XH1RlwFJYr578wl8rDcV5HPpM6Dq6sUeuRAOdgm3vNlr +wADHQ05cl/wJ/Hap/XE/yoACs/1vNX04eN6tauSiCQPOrjRZPnGNg9qenc+T +jjNA0mA47bwbB3N7RTqTLBgQmfcN2gj/FmgeuRxuyQDdlpovM1YEvpVaG0vP +MOD2528FGaYc/HrZwz73HANi/PlvButzMMLwy3/jtgx4ZM70+kPwy7z0WNmg +PQN2m5gejyD4p7BX0KzeiQHPXr1eOy7PwUERSiLbhQGq4oakdwR/DQ6wB/ov +MWA0Q6KySpiDDKtV+iJXCHz+uWvDMg5qGNUzFK4xoF14q8jZaTae+ZhiIXiD +ATnjOmTuXjZuFmiqJd9igI0DO6+diK/ObfOZQr4MMHu+3Um3mI3bfv127bxL +8MP/MZ9fJhsjeD++HvdjwJ2tymPDRPxOKT7/XBJA+PuybuK/R2zk8VoR0RhE ++Lvgp/hWbzbGXaN1/nzEgMaAx/T1jmy8TrILiA5jwHPeiYF2czaavLks//IZ +A/QFjylF7Sfyge1GN4cIBsR5y7wGBhvlC1xT5aIYACPm081b2Kji48y18SUD +xGP2XjThZ+OF9NcRDa8Y4Jl/wKJhloVOEYsJ5QkM8Cn9SpPtYGG6lu/h+0mE +/l90jPzKWZhwa5WIWgoD5gWvT8++Z6HzrI/rprcEnmIO96ResLDC+INkRQYD +xILFh7zusLCRNPvl7QcGPDWt/HHPgYUqb/wZvlkM4LWbaYg4ysJVm+IyZT4R +/A+bfry4k4W1gXVWnFyCPzeBtSjNwtVc/Tde5DPA2kiGenIlCw9fmVJXKmRA +g6hwUtcEUW/4eU/MFBH8SBuNnmuYx1FZpex7pQz46tRkfzt3HgfeB/cfrmDA +uoPK+4Jj5tF/eOwuTxUDZq5dFC/1ncfWjttK/tUE/hfi2IF285goFjMFdQxg +L9AzvunPo7q/v1LZDwbw8woEJtDncd0tywXvRgY4hRx+NCw6jxx32TeUZgbk +BgfJ2c/PoeBPVvzLVga8fVfeEN0yh6MWZ4P1OhiwWubVE0beHB5TuaxW3Em8 +v0re5X7MHG74mhmp3MOAPEZ+nbLvHN42lT33rY8BjIkyD8VzcygtovlRfZAB +d7n+7FzUmcMyKc3pH8MMaNteqCZJnsPpW3ojZ8YYkFoZGRfCR9R7zl+NwgkG +1JjZHR0bmsWXZgrzhtMM6LEVkwqumMVu0mv397MMqH6txS+TMosGGnEBvCwC +75PHtksHzqKukepLPw4DKp7437dwnMWfvA5qHQsMIC8Psnl+eBb3iMVdPPuP +AbFWYmnC1FnkKtsSmsvFhNoT4/sfCc3i1zG5St7lTAgNI43dH5tB5zoVA29e +JphZRzm+q5xBWccJ5yo+JnBJi9+dTZnBu+ZrH+sKMMHn3b9RG/8ZDFAb7s4S +YoJIpH+Bge0MxgTxeXFWM0F9U1iy1YEZfLqMVGsvwoS4z+WNL2Rn8MLrrLIM +USZcTpUb4uGZwVBuwbihdUzIuXuFPtszjfbK3suMNjKh/u2+AwKF0/hF1SU8 +UJwJRw1X6Jq/nMbU3Gu+bEkmnGz/p1t1fRpTRI56mEoR78+f+lluPo0Xf2ar +PNjKhF9jmx6u1JjG5tI960ZlmCA35LbCft002lG6BWRJTGBEGZSKzk7h0jtd +wQcUJpx6XQjtNVNYtnbSv0eBCQN8NiU7UqfwlNa1x6JKTHh+iT7b+2AKl7fY +BLmqMEH076bX6een0NKIfSyRzgThnxev1uybwlX3QsVrmEzI+3xD+oHMFLpF +fi6SU2fC2qyPF62XiHnCPSDceAcTDN5s3Pe0fRJj74/vTt/JhDNrtdv6c4h5 +JveoyJIWE+4/rmI3hE3iKp+yc6RdTIi0m3aUvTSJsteb/L12M6FT9HV4yJFJ +nJGoPpO0lwniVxmKZ6iTGGb8O7diP4HXoPi+nwKT6Ou/bmCjLhOiBbiVq6om +sL1Rixf0mPAs1K0+328CDcJNp8P0Cf9ttM8Y1p7ALItg344jTEj8b4DHen4c +3Z4wd3MZMWGs9/37d+/HcYeTepOBMRMe24d3adqN48/koawrJkzoMRSQeiU7 +jr2nD7l+N2PC/IpG8t6WMbSyzOfbeIIJo8e4i9QfjeGeB4+ENE8yId+2V0Dw +MDFPDsjOBVgyof/k1D6J5WN4vJB9+qMVE1aIP0hdnT+KZgPvq39YM8FvoPCN ++bVRHIrkVpa2YUJ1R8HObtooXuMpJu+3ZYLOh5hlRqMjWJ52b124HRN6tx4v +W4wdQQPByvWdDkxgLYvfwGM+giufaxVwOTPBVvCzybTYCCYvss4ZuDBh2Up5 +L6nKYVxkOH/ycGPCzK9v3mr3hlFQw4QWepngg+Gj0cQ9wxhy7E5SuwcTlhet +5D7OGcI7PDENXJ5M8HUUujGdOYQ0+2ruo15MIFXPZlfZD+HseFyf1w0m8HLU +XULkhvDaEvdYhDcTpvbTGtK7BjFAfYg9cJvg33bvA8Lhg5j8czhv1R0mHNxa +nXjceBC19d0fnLnHhLsH333sXjmInxss7r7xYwLZ6cODChzAA82nd1U9YMKq +IGnqC68BnFNfEJYMZMJXQV2pdPoAHj1ITdwXzASpRb23b0f7cUzkg4f1IwKv +WxfjhJL7sWvtWre3ocT5MTOX0k/3oxVL4L8fT5jgwrTbtkuiHy+2nNUhhTOJ ++l4rtb6uD40DVyY6RxD+utC7wsCvD89Mhf4OjmTCx5rnmzz29aHHzYNev6OZ +YL5HbnHwTy8OTDhx+GKZIPTz9LZDWb1owjrOsYxjQirl1YZFh14sKxi9kpLA +hOThqg3rZXrRoY3ZXfuaCV6pASFSv3uw4LIQj9wbJhiJt731ftKDetYdG4+m +EvaaJWvUGfbgHROlvMtvmSDJe6g/YFUPLvZfMi3NIPTVmLBIKO7GpjPcg5Pv +mVC0wB7KuNWNYb/8EvU+MiEl8qz6tu3dGGf+TyUim8gP5O13eSa6UECM/fbr +JwLvjJiOXyld6FglkiGeR5y3X3zjlrNdmPPSJ/lQPhO2VLh3mkh1oT9r1WW3 +Aiawf/2tWGrrxGhrCamKr0y46XBdlPykEw+/t8lmFzNB2zjrx66jnbj+DXof +L2NCdt6DsE7+TuygCTMfVTDhhmxvSN/D33hqkNmHlUx483VN4MLK33hgwzNN +qWomHDF9vG/3tQ6k7ZO2Masl8NC2yj83144HLAtDC+qZcOnqmeR6m3asHH0n +Lv2TCetMG4YPNbfhn9HYo8ebiPhLCHR4YdqGI84DEl+bCX95b+E7VdGKF7qn +7vO0MYEpo2o6vb8V/zvEUvmvgwnD8V4zgSUtWITei687CT4/aist3dmCggm9 +miPdTGjYatBX9qkZBf9tFz/Tx4TbCeSTD5Sb8dGS4/PvA0w4Db7MS4m/CH0u +6K0dJuqLT8/XdZRfqKN1R+fBKBOCVqeUpsU0oU18rGnLOBN2y9X5cqSb8FxJ +iqblFBOM/cJnbcIb8b7C/eFfM0S+XZ4r8v///0PqmhOK80R+OywjdvTZT3y8 +1+jkezYT/rLXLc6J/ER5o/L5NQtMeNJ7k0R/2oCZaxv4zy8y4ZbsvvqwzQ3I +z/vfxcklJjgq2yQ8e/ED2/lyVhzlVoX4fVYDG2V+INcTvqAhHlWoEyrWvxhb +j17PYjlX+FTBi9aht0mmHqV2TG9t51cFz8eH/8i8rcOirY38nkKqwPPccdqA +VofDR0+6jaxWBSXZ/ZtrcmtxwfnqgWMiqtBHcZ64p1eLnZuzUmdFVaHt/foY +y9gaNI+JEnJYrwo+F6ytVxdUY5SMX9ymTapQf4X3gkz1d8zfKMuPEqqwykVK +fFVPFdZne9VpbFGFV8t1IpcLVKFPY3HymLQqLB8YcV+3tRIb1VaHe8iowo5M +r3khvW945ezO3RokVUiul2Rknq1Aj/P/XkxTVEGcU81vcKcck0cXTt9SVAVX +5xAtp6wyrCq4kqWrrArDficMV7SUoqrH6y19NFUQMNtoObO6FA96K92/wlSF +kLnxp+56JZiA1w33qxP2zxu57b1ajHS2kVv/DlW4XpI8N5tVhIdXq0QUaarC +GN8Gg+jBr/h3re2KZ9qE/jzWRUe3fkUKvx3/f7tVYU5r0s7RvhD5wgJapfYR +9oasMj7/ugBvmUq3NOiowr5ll6NWDyHONRce8j6oClylU0IXQ/JxdPaxjq2+ +KrzYohd7YesXFPFNT5Q2UIUtG7Xrntbl4uo/z0gbjxLnNYul9Th+Rq4O14jO +Y6oQ2P95qoH0CdfuPCARbaYK06e3hbSMZeMW6VTLiBOqsD6soZMdm4V00/av +50+pwufHbvXXLn1EL+slZzcrVXhoQdHPYmbiv49eWpfOEu+v3PuOj/sDzm6/ +Z7r3vCqEXQ2rPjX6Dj9+E9UztlOFqrVJDx6XZWArx38tw1EVRvKHghjX0/Hy +bPwa3ouq0HlgV2OCTxra/fM6u9FNFdQPpW7ccjEFr5jq5nEuq8LOLf7Fe4KT +MWXTlyqJq6pQsEySfyr8Ne7sp3ExvFRBUCJkD09+Ar5Pp5xfd1MV4oT0zt4R +jce9+oc7NG6rwkCfe6uY2Su829q0QfGOKgQ77LnsXPESF289TDl1XxWs+5uP +cVtEY3WUzGffB6rw9uqFgp/HX6BAXvlX90CCD/El58lp4cjFX2ORHKIKWtZj +u3aNP8FfMda3k0JV4eWar55Mn1C8rfVRJ+IpwUdufWrYvkf4Ia0///tzgj9H +FHOGOYFY//CCaFWkKpRu9wqNW/YALV7n0YReqkLi+ptLujz38KbZoWjtOFU4 +asn/75+9D3qu4WhrJqqC8YEvUnff3USTeE6sZ7IqMLpiSclJVzFWbaHtdqoq +hL+6efdb1CX89u5Uhle6KkzYZq3Z7e6MY6er5LPfq8Iphwtt91TO441D8xO5 +Hwl/rBO43KN7Gv18buzh/qQKJ0euap1KMUbJ5pePRfJU4dcDrysbr+vgx663 +nSKoCjevsPK2cqthS4j5VeOvBD+ZFUvHasRQ8Px5rcASwv6rvn8uLG3X/h9F +EBBh + "]]}, + Annotation[#, "Charting`Private`Tag$10315#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], AbsoluteThickness[1.6], + Opacity[1.], FaceForm[Opacity[0.3]], LineBox[CompressedData[" +1:eJxcWnc8lu/3t/feezxGimiXhvtECg2RFqGMD4lISUlJSmaiUhRKRqGyG8ZB +Etl777338zz27/n++3v+eV7X63pd1znnfa7rnPf7vm95K2djWwY6Ojp6Njq6 +//3//9+dnfVLAmp+BGNh7LjSXgEkv/O2btGIIL7KyA2//7kT/zLw8h7KiiU8 +qlL1zLJ1UWFE7d/ujE9E50jt6xe9xrjD+Nilwzu+EZt6t5VSOC2Q0yyt6d37 +dMLUZ7Vk6rItntwnkXx6JIu4ORifmv/QCU88z1Dukf1JsKhSp7gu3URJcjIL +yOYSzmXfvft23cHTt/sfX7BAolN8JEeW5wHqPCbfDt9RSCSrRgR4sT3C/W88 +ylq/FBH0rK2NBgef4I67VW3ZesXEf2HkJJt1PxQzP5N84+8fovpPjuqb30Fo +LZyx+tz2L/GlX7/aKPQ5zlQF9RutlBJZt+56cLeHId/lqRMrt/4R+1yWGh5I +v8IUBZvMPO4KItH03dnIjNdIJPZ0OAVUEsERf6RaDkXi3EZA97JSNXG8i7Km +Kh+Fh09Qmh9fqiH65oJhgOs9Sjz4dIVPrJao+XJfiMnrA15u//mst6+WOOqv +6dIo9xFvO1hZ5sXUEUtblf10s+NQeirKye5CPfFVwsVm3igBbXXsJdTlGgii +gbJPV+4Tnt/xjEewrYHIVSXJ6XZ9xpI8+xvzsY3E1NxBrTO1yRjNK5ocYNdE +2H2oeTTx7wvOXpVqPi/TTOy5c/TOydRvaH/kK/NifzNRGbdjpGgoFTtdn+s0 +vG0h6joiPGAsDa9/Dvn+yLCVMO21c1ufSsc72jfUmvjbiPCMA94LfJlYnZk7 +/bSkjbhhHGRFp5yF7+5qcOT6txPldOqjJhezkax2gzAnOoiDgqz/lT34jj3E +7fN1Mx2EsEIvnUfcD4w8kXIwOrWTMBLdPBk/+hOdAtIqKFZdhAw4t2vJ5qDq +1dXcxwrdhKiY4utTbrkYrLhDur67m/jVeE86pTwPrxwIPXv1WA9xLLNDqJwP +0XNa+PdEfA+RBk/z8EABXroswFy31kNcc24hcw4VoOwlsnvSmV5CbyDv2YeQ +QlxdujHjktpLXOB5c8zXoAgVSyv/JLP0EXqmL7dErhQhSeqv02/rPmKIq2Wb +Q/5vlNgSPlCS30dsYtj99+nDYlyrjP1lLNhP3M2mExfZ9wcPJUizJlzvJ85+ +yXdopy9Be3rb3sDf/US+xKuArwUl6Hm8TkxFdoDouR4SLRn2F5fdrTu+3xkg +tJJOGltaleJlpaNy0+UDRKd76PYN5TJsOmd9pFRpkDDNOmDwab0MJ1eLf5y5 +O0hs/21mYvTvH57Gsosj/waJu1yHr4m9LMc/sFWUU2GI8Ov0tzx1owIvjiq0 +LdwaIm5eV13vP1yJEusyrOaVQwT9paHwg8pVGJuxLbdMYZjwXquoT2WrxkK5 +tn6BW8PEcvppgdHOamQ5wez9998wIU+19n5vXYOfS1nfWkuOEAE/Ypae1Nbg +JYtSdwmHEeJXXtkFFa1aPMubTVLFEeIKCCwyZdWiGvP3w/t4RonUP0RQo2Id +Hjhr3f/cepRIMlw6zBhTh4/DVZRn00cJeh3jpxv89VgH2U236caIIlbllSCf +euzbJhKw12SMUKqcr363UY/NcTJBQrFjxMXBKqUDtxpwn3H4f7LkMeL+TZ9i +j/kGdIvbSDmpN06MjVKKj91oxACbEZ4vL8eJmGvVzrbDjRjsMbG+ZXicEN+8 +w17XvglH1YKGBnZOEFu+LrSd7W3CM8GdjC0PJgjnlrDzGReasfhBek979QSh +w7B1caatGavP0jloSE4S0lb5YSpnW1Djsbmbn+MkccZgoPRCWwvK7mcVkcid +JP6FxZbWmLdiAWN7GQ/LFDEhc38fT2srvrY+cX/17BTB/ki90dyyDSW6jsef +fz9FOBJzFec725AfAhnoRqeIDvdYGeuL7ajXgBfC904Tk0/eXHnd047NWvo7 +Ux5OE+mHFffpWXag3w9hhsTqaaJefCp012gHPvwr6/eKa4bwiXFJeezQiaZF +F7p9ts0Qza0vTpaMduIww+qy5fkZIoM09OXsrS68RtXf739vhgiMY/4rS+5C +9ZOWT9/FzhAdqdETR326UchyQqazdIZQMeY+oMbag9DzTenmxAzx2L1gRkuv +B1VvatyaFpgliv4KX2cN6cHX74sD/+yZJeK81WINa3vwwsfsj7UXZwndjQvP +7gj0YvLF1oYCr1lCrZ9TxvxiL7pVGKryf5wlLpDuFqS+7cUu04Py2mWzBEfQ +y+Xi/l4M/q8oM2lqltCyXczI2tKH/qYfH97jnyMEhweWmZ368LTIVMGWvXNE +s3/MgERWH64mGJJ1TecI/hf7FZ9S+/Cr9+jwoYdzhCipcm/O4X7M1PrueDN+ +jvCI1z+R79+P8W/iVSRL54hncnuPclb2Y69z3MPoyTni+A5WQZLIAHa6S7q8 +5psnqnPyMu+ZDmBtgmlmw455wnsrnXp41ABOohLv5Pl5gl365cV7QwN4+bzJ +0Yce84Qy72aLN1sGUY/bcutUzDzBmp2a9/DWIF55+XYjtnieCEjJvqyYN4jK ++k33m4fmCTeyysQi3RDyKcpuHeZcIKya930NNRjC8MlTKnfVF4iQG5pc50KG +8O+lv6fOn14gVqi+nOV1QzigGv6d322BsHR0FWKTGkb+ltMHjF8vEJf+irP9 +d3kYH/7nMeqcu0D8OeikFpA0jMN5f9qEuxeIfw+4e/5ND2NmSuMJLvpF4m3O +11sZO0fw9POD671Ki4RxRRG3xf0RpBRwd2nrLRJP35dckS0awfIPJr8eOy0S +jp2jh2K4RvGodkaERNgiIZ1iQMGzo2gXvs6olblI/FI4820uYhRPJfO+0G1d +JK4mpkd29o+ixz4zlo6VRWLTU+2aZpUxlPg+sL1Mmkwckrju5uQ4hkeOKihF +a5OJ7alMLJlZY8jheP48oy2ZoNMWlmddHsNanXOnzgSQCdbt3DzMR8bxEs/Z +8bWvZMIjjskqPGgcm0W4n2jWkomGatOYHTXjaHzn4AsbMpnY5ccg6CI+gWun +V0ny4hSi8mzc6e/mE5jRb0Gt0aIQQemMs68TJzDmUoRqtzWFOFKzeIBpZgLz +NInEB74UYjNH3VuPnZN4D08Ki6ZQCNFIjvsPPCfR6fvnEqimEMNGVruCCiZx +2KmktnSWQvBPp0goMU7hwbXsekNRKvHwsXmS1vEpvB4YPfzffirx02hpi82z +KXSeMxj3vkwlEh0Mvm80TaERS3XXxhMq0ZiS7SwlM41GK/yyXJ+pRPPejBi9 +y9O46/HxawrVVIJRpuRJe+I0EsE3JUrnqIRUzUEZr7Fp5KseoK8SXiKKBZmh +XngGd6kkagwdWCIc2t+yCWjO4FbJkCk/yyXinWfP1/aLM5hZJDGu47tE9L1J +i9C/P4OffEvrbFKWiH6TtA/zMTN4eESjh6tmieAbWK5ULZjBs1M/XBrJS8SF +uf1x630zeC/7vJ+C5DJRltsqd4VxFmUGrVveaC8TRZFWnueUZzH7/Bt0u7pM +fEvbfuKJ3iyqvg8crw9eJi5pSiro2c/inkMqN62ylgm7NvJCWMAs1n8t3nBu +WyaSNOkybybNok9tVl7P+jKxMmtcHFo2iys2DrUByisEJSeaUBufRa0DBjFf +j68QXXJcP69wzOHrjXIVwVsrRHaVriCH2hzee3c/tfvtCuErOhc0cmIOPwr0 +i8oVrhC3Hj1ctbs2hwLp6nLJoyvEBpmscTJoDoV19ZQTeVeJrm3Bu5uS5rDE +xMOeefcqoeBIx/e2dA4D9FZMfpivEhX+pTt+jMzh3x6DwUafVeJ1eb7CQ5Z5 +5EEzq9NfVgmZ2Ky9r5TnseT7pNSOhlUicuLkjQtH5nH2VtNet+VVQjDhq9O5 +K/PI/M7bVlZxjfB9UmUc9nAeRw9v1918fI0Ikgna9zhqHq3ebfWPdVsjbk3J +uCXmziPpgEqSd8wa0c8kmLDaNo8B2il3f5SsEdThzN98lHn8dlHI8+rsGkGX +1rtVV3gB5bo/qFwXXycGqy51HNy+gGpqvkk1sE60c7CpOp9YwIPGS1t+XFsn +LAVeWqZeXcBQIwvx8RfrhGhQY1v+Y9q8mM5YMq4TP3X4+ys/LGDK9SzV6rF1 +wvQhMPLkL6C0v1b1LsENQtyv4rxyywJmXatV2klsECY+PZGqCwv4n851Omf7 +DULyfMcpHp5FzNi2T/bEqw3i3hTzRuXmRezdU+XmV7hBvLcec887soiGXjzB +1LENomLV8lO4+SL+Mc67yMFFB45hVKe9dxZRWmmsTkOeDkTIYnN/ny9icY/D +/MZuOtg/yKn/8tMiGplH2iefoIP0UIXbAkWLWGfq4Tp6hQ6U2uSqg1sXUSCK +osZ6lw5UHHcLfp5bRDuxVcvaEDqgHtBtXuAg4/CKCN3meDqQO6p98YQ8GW1b +Oxxsc+lA+rVFrKomGVtGEl3V6uhAhj7kt7QhGTn64VzkMB1Uc/I9P2VDRqEL +auWz63SwvLcuesqDjDYW+bFVQvRw12lEPi2EjPbdGZQ3avTwztQ4oyqejMS7 +prFBbXrwbBRMFvlFxoRnZOPmC/Rg814lMbqSjPFcQ4O/XOjh+e9eS7s+MrIq +7p6VfEoPZm6bf11bJGM1l1unaww9ZIW0cr9lp2DBzRdczt/pwaDskfqaFAVd +rHeJ9lfSg8fPiw+/qFPwtu5NNqMhevi6eGX22mEKPmb40L9zjR6C/m0p8zam +YOenX9LZggyQnVTR0GFFQStd69XdagygkhzVr3KLNq9uFqCkwwBrwp38PT4U +DEnorBS+xACHdUz1XV5SMGniXVr8LQZwrRmJ2htHwR2GkxLvAxlAL2n0rFc6 +BTl4rcKi4xig2KT03sNC2v56mmFMuQxQ+4nrNVc1BTPFbcyY6hngqK7/3EA7 +Be132v/kmGCA07GHN86NUvDkuvGNm4yMUP877rLDIgX3W+tUDkgxgt0T6dNr +9FT0DnPIyNrFCKUr3gKHuKmYQZdRIHWCEUJKr81ViFJx8Cr52jNbRsj0oyv8 +RaJio+HgrOl9RlD7uOeukxoVw/4++7bzNSNkzTY4We2hohOvxK0PqYyQfvjP +0muCii8brunZljLCfsE67ZRjVLRUHpXa08cI3Y/8KroMqbj/1U8d02VG+Hyz +SmLtHBUjvE8scgswgfnFevbb5lQUivPsqFJlgtPaZadGram4qMPe1qXDBBwe +qfutr9LGO9d3rJozgU15ztjP67T1D43rE9yZQOGngbHZTSre6L+Y/S6ECZiG +z0zKulPxsrjzsZjPTGDps9YQ6UFFTfmyorFCJuDrfPb42H0q3t9jP3W4gwmg +I7ku0IuK4QX55vJkJpAxe/NBzpuKJss92kd5mGGK5fVX5kdUfF+Z+eaWCjP4 +p+yKNqWNr41ssx4CZuj+c/wDL20cOf3fzY4LzFAuJLd7z//W/13gbHVlhjfe +fF5JtP1Df2q9EwlkhnWSkE0czb5lYJv1hXhmqIkzZKij+ccrbJEggczg3ajg +eY3mP0Pkzq1Szcxw5hFXXiotvvRAhh0ys8xgJhTDzOlMxTnlWy8t2FnAPJC7 +WdGBigl2GlHS8iywmU6Rvc6GihdDQne17meBUM9hM3cLKkbtr82oM2aBOeG0 +TXQXqMh3xTzmjyML6E5Tb1w9TUWH5JXN5Mcs4K5LvlqmR8WAwMyB2Hcs8Jjd +9H01UNHKQPDFkWwW2MJUJ/12LxVnPgd6KlaxgOzHJ2wP1WnrzQaLFodZQCu3 +Y15QkYoc5+jbCXpW0NgV4WogTsVDm+2cy8RY4XfGAjWXh4ohk6ZXiB2sELLa +FlTMQMMnbfb2oj4r6Ce/EpCgUFBz2DFO6QorDCU8ZdwzTkFBT6YdhzxYYaHv +9Y+qTgouNHUthoeyws3+uSeNNRR8ccmVvSGJFf4dcdyuW0TBaXXPbX6/WaHz +zN6oOxkUXCXwoHcbK3wuUt3QjKcg2zczuDDPCvOWt/effEXBDVmdB24cbDBg +5zO6/oSCcYc/ODoqsEFlruzUNO1+D+tMcrUdYAOp14Zq360peGNsz6lQYzaw +rTHcduAMBT8mWeRIXWOD0dk4rVGgoPmJLV7z3mwQl1ZVYq1BQdeuU1ePvGGD +I138C/XSFCyhuvHapLJBQEuSmSkHBS/ffzFVV8IGwpUgUEah1c8nO1W4utnA +qEOm8FA/GZnYexXzyDR7PfmlCVVkDAp1flHIxQ6H0iN8BXPIaOhjVR6gyA7h +XgbpN2n1k+zemvZ5Pzukl1YUDD4no7Wx0r8jp9lBn6fF396TjGe1N+nE2LGD +46O4sEpbMkbJiU+euc8O2udOPzA/Tabpj5zY3y/ZISvCJ6BwHxl7G8VefUlm +h2G7Y3G7afW//mX/K3IBOwylOkhO0vpDLnzZvdjMDlXXCtCe1j+a+TZfOTvJ +DolG12/val/E9PrGwf+YOKDtJMed74WLuLhdzX1BggPmoulSL9L6U/QQb+GI +Bgc8/OxVb0XrX9/7BFX9j3IAi5NRidDtRXQqVZkLM+OA2UzlJ5q0/ncrXYpX +xoUDeJ23Ea9o/ZGXjQ5O+3JA4fqKbxCtf96y1p+dieSA42XWOpx8i+h+Pufn +rjQO2FFx+4UErf9m65RGUEs44IO6DPsVWn9ONSoy2tbOAU7RX9h0aP37Kj7U +Z5nlAKsg0u3PtP6u/vZqkzEzJ7RvYezL8l1A+7TmJUVxTvjKf/8Sj+MCvg9S +KH+uzgnnGDvVe04t4A0u2et3tDlBvPTL6tWdC3gmaZM4+TwnPD6hTLam8Y9H +byPs5q5xwjbpG89ll+ZxLNd24bYXJ2g4XrXZ0TmPGfBPN/glJ/CLnwtLzp/H +qX11lps+ccIl9TR2yod5XD74ptIwjxNKe6V+v380jzm1Lk0btTT7q2YRsdbz +WLCgMr9vkBNcrytm+x6dx7lt191XlziBa0NTp4DGv07c+1p1nJsLXoCr4wDb +PKrKDRAqclwwrM9w5cb4HA6fK3EM3MUFVz4oThwvn0P1pMcWXse4oOTcJ0PZ +L3O4RUBXjmLGBbb5Z4s0afxQ3LmqYMWZC36qPtHIp/FHIaVLSuGPuGDiYwSP +/sk5jOmxF0wL54LvV5JFhGj8czy0wNzmMxcQAdFfJrjm0Cb/WcirPC6g7D03 +tDE2i3/fVhecq+WC5z+v/vOl8VtOKTferH4uqFlPf7mJxn//Ca+5PqNwweR4 +zv61p7OYv8n9DisHN+TcPawxbzeLVascT4WluMHvrs3HqzR+/duab9M7DW54 ++Wp8jpfGv4vyuApKDnPDgyeG53uZafw7c7XL7iw3UHl8vbpo/F3DfuJytD03 +6PEFTZrT+H2xnw7pvgc3WKxeiRJ4P4Msv5TMaoK5oW+b0caU5wzWq/QKVcVw +Q30bH5n50gzuYwrIsk3jBh4vu/bPB2awyd2c3aWYG5SqIyVcxWZwi961zypN +tP0DKDdXpqaRlCUYajzKDQ4eHkNnafrFme1yj9oKN8gsSgSAzTQeSBrv/MjF +A6zZjjuMSdN41/vpQIAsD9CdpCdi26bQbqdOtdJ2Hvj23qEi9PUUNu15VqBx +hAe2ym5uzTk1hR5MmSeaz/EA5zvFIH7WKbR+/ZR5jz0PlBnJigoXT6Ls3nEq +wz0e4EsKcjp9bxLld7a3+gXxgLQAh8bhvZN4jP5k27coHhjvFspxXJhArB6L +OZLKA6o/R2yqkifQvzZS6VUhD5w8s3nXrN0Eej6/InymgQeWLBv/6snQ9KKa +xJWMIR64Xhju2NM4jl6MEYx1FJo95ZAFnbBxdG0QlrDg4IVce7O3HXrjeEdx +ZeyzJC/EW54YO8o0jt9s/hy0VueFkeNSqUM/x/BLoH5sIfCCrW1FRa3LGFos +BI72GvFCtVu43mu1Mfz8yfGYiw0vsN8yevGybxQfnY3JKHTjBUa3gUWXqFEk +jq0H//LlhSYbT6XYi6O4bSPlPCmCF36M+ATx8I2ilC1D3akkXhDRdIu6XD6C +kfqXZsdyeWG140bhzMMRVCvcqr6tmhfgw7G7HXtHcHl86O6BHl5wbjhgnDE/ +jHvKd1V+n+OFC2cliys/D+NUWffdNXo+WGfXdmqyGcYCw9NXbnHwwZJoYjPI +DyO19cfbOEE+cMl8abXYPIQnj3t92SfFB3wZz6PfvhhC32CrfF8lPuCf4rQP +1R/CY8QusWR1PnDOlEyZoRvCpQs3wnbu5YOdcg/mdPIGcSF6VM4d+ODomor3 +9M1B7LJYWpPR54OE8ejA5xqDmHKRVP3ImA/cS7O4QgcH0E0kuTvPjA8KTpA4 +GKMG0Oje06PmNnxwcrMw27WLA7juy7nw04kPeP3bnh7iG8BXm+uY7t3mg/ml +yxEsFf3I89XJWdCLD+78Ljil7d+PKnSHgx38+EB6zfrGAvRjFN/3dqVQPmjQ +iMh+u9aH0rPfOD5E8sFQ60/d35l92G0el77wkQ/6q76p2Dn1YdG5EtWUFJo9 +7u3ha1v68MZKk4ZSNh+I744cIvX3otHb3230yAevPXZWab7vRauE8KTsv3xw +8/g+lXKLXoxSSixWr+WDLd+425ZFezF+0GiKvY0PrFSfpok29eD3A+/qP/fz +wVvLqPjuZz2oa8T1KGWCD5qaX2HYiR6cMunKe7rIBxPH3v4p5uzBGl6LpM0b +fGB9zDzcLrAbl3ZOPd3Kxg+1N+885WXvxlwtrS9L/PwQ9tzhjKFnF7rS7QiN +keSHkK6tz+LnOrHgxAubBEV+2G72+2i2ayeyv3n01V2dH1pPy0b7jXSgUP0+ +x897+cExeEGXx74DPbVLZjOAHz4EanO/G2tHPs041UADfnhlvP5PxLod9YoV +PhWe4QdPF/vC7YNt6P1hPL7EnB9SGX6wW5i3oanDwQ/xdvyQ2VOl9LWlFQPb +XTz6XPghl5AI9zNvRRBMPDjjwQ+F3E0xCS0taCL531azx/ywn1wUfMS8BaVC +WpfePOOHGI3O1lMtzbhr6k1T6ht+eL9RvP7kXDMGfos+rRjLD3SH1dVJXU04 +0asMTin8YDD59IHVpSaU3pKzxpdNw6fisMlKfyMG+CSpByM/tJ8rn0q83ohM +ugzne8v4gcTGsmXnTAP+Tsh99KyeH37VHRJf8mhA2+jXWcJd/GAUfG6ycrUe +/drbfW6P0OJtWPNr8a7HRhe+a+pz/LCSWjywwluP53bQc/5e5QeFTIME51d1 +KOtRWPWFRQAu5JbHjyvUoUrrso4LnwA4xG64eWbV4vQJTiUBSQGIPM4V+V6r +FrfNn9nCpiQAHSvxCwk1Neiu3VfUrSEALi2x3ndMa7DGtNGCY78AZL8Z6djZ +Vo18H5+Lcx0RgEsSgf5xzNWoisc45k4JgGnYehzIV+Hmc/aoclEAJDMSEzed +qkTFON9GXRsBmNdLnsq8VoHREX1cB5wFwON8153CkHIUm01Me3BXAKhCB+78 +Kv6HZwgpj+THAvAvKPX9+lgZjtkLeCuF0PzrutNDRyrDvLuJx90iBODY9Nof +JctSbN97ZTY1TgB++Dv+OvTsLybe1XPa+00Ajhj0+n2pLEHrAraO5F8CMDqk +4/xu4w+mN6l3kP8IgC1kcbPt+4NbR7KFAmoE4Ov7mGOXHhbjTOenWu4OATgk +HNU5lvMb30m3uLQMCYCVcOZhVdbf2GYjsnh7lobPFbV+jwtFGHpi7R7bmgAE +Hn1fXBRViKn3JSy6WAWhPMmuU2euANcZTQw/CwrCf7tWvl48UICZp74f/S4j +CLeUNDKblBGdBBsE3mwWhGiT7T+Y+vNw6L7AWZfdglBAnp1e9M/FnaruM34g +CJpTA3TNJ3NwNn17Y+QJQbjd4KddtfYTeSt2j3ldEIRfohlX3//6gZwcHGGx +1oJgyJP3TufFd+zcteNTgbMgqBiNaudfzMauqht9EvcEQV1nR/W9A1lo7LTf +WddXEK5r7dCkqmRiknaVs1OYIGQeCYzgZ8lA/LNpoypKELgnPRIOrqWhukFq +kkaSINgy6R7wGEzFdMfoycvZgqB2oP9DYuY33DBqEi8rFIS+8+LDE9VfsINe +WXpHlSC8/nurYEdtMg5uffe4plUQHOb89/5mSMKye8ucN4YEIa6O38dV+hMu ++4nu7JkThO1h7BKyRgmYfTOE99G6IJBNXlIN0uNw/miuzSZOIeA66h/5le4j +nmWtZ10XEQLOUQb5rfc+oJLm5nvvSULgo9Sf1cP5Ho18vr84piEENw+PPmiQ +jEJp874I6QNCsCVvY0rbNRKVC1/tWzomBJoijwaU018jhjDvfW8iBLt0P38w +IL1Cytj3zzcvCwF3tqbho64wXJQJP3bSSQh+/7dqwBT8HHWNl2eN7gpB4bms +hm62YNx9v1ZV9okQjEad/Rdy3B/fR7p3zoUKAb/ag+t3Hz/B7O86/01HCQHd +sS+FUtqP0O/tcOxckhAMeRVl0LM/oOW35L70dyGQv9zV8sP/DlLS0sZ4fgtB +bN9fy4CUmyisGTHPUiMEgtPF3osrTij2svCnYocQ2EUtfxaw/A8f/p5o0hsV +guUnaz/Gv1vg4qNV8R1kIRgzaB+/4nEGb13kUSMYhOGDW5PVr4CjqJm2JceI +Vxhs4637WB/vxAK7OfanksKgbV41xxcihPdqps29NgnDNpPyiLhLYflGmkbU +e7uEQafxz/rFwwJo5bupPQKEgUba3ZS0dmCFnPjar5PCEKnRIyfxURepYykH +o0yFQdFZfjz+yRn0Tv6cGPOfMMg82vasf9gcOd46vPl4Uxj6jx0JU6y2xdJT +F6X/eglD81dnjTsXnXDj2bbasSBh2MK/L0vm8k188rQ9uDJCGKRK18rfvb2D +cyVettXxwlBREPZNnIYf56X/zKvThWHkbuKf/YcfocgubfWpfGGoNewhqwc/ +QTvt0Ufl/4SBBF+0c9n90XuFrj6uWRgOMomEb5EIxuWnzSJR/cIwsfOqguzL +53j4qkFMyIww5JJ3WVaOheELzwOzWmvCsJpy6O4f01e4ZaQ/kZtdBNJ5206U +FLzG0fs39jcIi4D7T5GvgvcjEbTIdr/kRUAuV1Cnc0sUjpqxV5pvFYEfFgfV +m0ZicPFZZgRZUwQmb71JSvH+gMFGHUPfdEUgZMBN+RXjR3RyU427ZCwCexQs +OYyy43Ct6Tr3HwsRYNvd3eF9IwGl7PfJGzqIQF1D6hMD2v15GMlJ/++2CKze +qbPvWP2MyQLlm+weiQBdzDOdiX/JeOT8e7r5ZyLQEsazexPtfubO/Ni1/60I +9GjujXjY+g2HDQ4dik8QAX6W2saDw6noeVvzwGq6CIgRcurq62k4Z9XY6p4v +Ala76ynX2TOQ1O7hlFomAlt2rg/lCWfiu+ajh+saRWDCM7aplMhCBwUbf4le +Eajo5q8xu5SNbD/tBvQnRYDR/dye76+/I7/jQcquJRGwvVr1ZF/DD9y0TeCx +HpMoaN3btsmb/hcmamWZAZ8oyNxsZ/9zKgcZdnfmXpEUhUHDf4wjgbn4MeLs +LCiLwlj6EOPl0jzcWkX6Tr9DFMrf7kmcVkL8OL64Z+qgKHS9Fd/et68Auef7 +ZH/piQL9dynnyukCnOESWUg/IwqRecU/nGj1+92rz6cdLERhZzB90GGDIix4 +1Wa3flUUWDPeX3vD9huNe88VFd4ShXMfWJ715/5Gs3vpnywfioL2locPpXyK +Udj6t2pOoCi0XFpVC9L7g4YmTff0wkXBVFFyeidjCd6i3sz+8kEUDofSaWvX +lGCN8k7uoymiAN0ivJvC/uKH1OHo6GxRYH6XRXgZl+K8MhFYUSgKD0QbYlOU +yjCa301sW4UovIkRn2aeLEO6/tXF/5pFoeNg5u+Qv//QvqHR3LxPFFR0cu8H +vS9HqTIKX9CEKGRKuQbwOlXgrLZBdCBFFF7RyU6rnKzEhZMpDcX0YnC344C3 +q1wVeqyRRiu4xCDsNqdmHGs1Fq6z/n4lKga3K00YuiaqMbJeajFSXgzo/Oy2 +nreqQb62Z5mOamJwhG7r2OWWGuzOdN7tuUcMWmrOb3l2rBZ9vx4/ZQhicLHd +q82Zxj9GqEu/JwzEoDzW0+Ld1jos8Y9+/s9EDORUjz5LjK7DT7s15l0sxYDp +WsQ9WYl6vCVV86ztqhi0e/Mm6b2sx/0OsVue3hQDM+/6ozysDXgwaZPJ8n0x +ICV/qrZ93IAbysXdaU/FwEuZ9cTe5Qbk5Am21wgVg/yKpyxuNP71LVI//MZb +MTh5XGrIdrIRj1aZJSzHiQH1G8O3VzZN+E9pS9PRb2IQsaGy5+VQE2b33dFr +/yEG25WH1dIvN2Pr0zzlvUVicMZ3zwGR1mY8oVJ206RCDI6L5PTaXmrBmZ+a +rrmNYqAgILUnprkF75Wsew50i8Frtensdhr/vHr61UD9qBiIlOulXehrRTWJ +Tge+eTEI+e06GWjRhq/SS+9zrokBLzG/r53Gb7P+204xYREHoy1fuUps2vGY +i6O5Pa84KMBaa05vO/povyLvExcHhouHLPqvdiDVNlPdlCQOzELHje6OdqDt +ppaHe9XEgeeN5k/jW524X0Kqt2u3ODzUzby8ba0TVfYmCrVqiYNtJXuf0f0u +DHXs1XmmJw7/Phv2lrJ148UL6c6vjcQhPuT5HSca/y8//2fV0VQcUjR39yww +96DmilDQqrU41AlxHh883oOBfbt4+xzFYVZgN7NpcA86NMmUPbwtDolKIUpP +G3rw0YVOv9te4jC2n91fnaZPWjOMuff7icOKp5dY5oVelKo15ckOFYdAAeUo +Skwv6v+tHgmIFAfRkne68X29GHjYKlk0ThyGeDffE1btw9S9HB9cv4iDcNkn +H0vXPlTzzNiiki0OGRl5vkxZND2Vd1HmFYoDffW+JGea/lqfk3huXkqLR8oy +f+vhfuy1LGAn19D8a/4WlOXTj0kGTS9128ShwvpHPTNN35lv3Scx1ycO+zRP +O77jHcCerwedz07Q/C1efbR2YQAllwSv+C/Sxod8DBQ+DOCeN+apkuviEGMe +2+EzMID2gWW/bFgl4OPYgavftg6if9SzHi4+CVi2PveK13UQn25jzrgmLgGR ++r48B3IHUVMu//E7kgTg6zeRssxDGF9WHblVVQI0NntqaND079jr0kC3XRJw +6YXgPTaaPrZnVrGROSQBeitfMlhbhjCkUNE7UlcCqJryP7Ikh/HSyaTyylMS +YHlBsm2L7TC6vO8YsjovATbGwq/yafo8CAzdvl2WgO+beA6KLgyjyJSdaM1V +Cdh3cElN7NAIJpd5GZ1xlYBtGdy3tnuPoJde88tn9yQgfyVlmqN8BH29PLvV +HksAs1OCwRLvKNqZpUi9D5KAVkbXZb8zozh+5rp4xSsJ0Om8oFH/bhTreP5+ +NIuWgNBdVuzqvaNYYhzzOC5RAnhKUhK0VMdwqd9ExyhVAo7xOVuYu4yhx2mX +rvofEvAycoRFJHMM/72Y+sNXJAFqZY/SPNfG8CHDr6a0f7T1L97yTx8ex9Rv +7RvcDTT7j8xY2IPGcfCgBtvhTgmYTYspyGwYx29D4hYtgxKwO1hrzkFsAo+1 +rzCrTUuAvvhkVP6VCQzvmhcaoEjAhzqvIsmECYz3m9lzk04Sgl/eTGEbncBx +Lv01ZJcEIbs3DH7bJ/Ff90W+GwKSQP27cp3fbRI3VaV390hKgvI1fZJi/iTy +LnO2qyhJwr6+X3p5zFN4I22mt2GrJDzKvH7dSm8KTyfiwcN7JaFpwO+Kz/Mp +bKiR9uUHSbDV2dOVWj+FA3Jv7D/qS8LY6Ec2Q6lpVMrx2MxzRhLWQtzrHK2n +cWVUwqTDTBIe7Exsjoyfxsm6q0NOtpKwW0Pr6ebJafzhoJdodV0SblzVpx8U +nUHF9MIaDXdJmAi2eHd73wzCAUfdooeScO5eCCnVbAa/sfBv++4vCW7SVhZu +njP4rq542vUFbf2uRa/2mBm03/TOgC1KEv7OU6TvF81gk+ET9Y14SdBSe9b8 +pW8G9RjSNvK/SYKapuJDN+ZZzDK+NNL9QxJulerVVyrN4s8CX//WQkmI9V/J +s9GdRf3KESK6XBIOMAsd8LObxfGnRxaLGiTBsUGlBJ7OokvPNb/MLkmIOytv +ev/zLG7NORfGPCIJ103ySUZls+gR6uWuMSsJAaw17VdGZtGB0S1AZUUSNtlZ +t46xz2Gaz+Z0LyYpUKXbqs23eQ4t9m8eSuSRgkfXPsnFGcxhRuBbxSQxKbCI +6/ZzujaHD7/UzrKRpOBj45Bnq/8cRtbm6R1Qk4KRr0+vJSTNoVh4s1PZbimg +frnXKFY2h9YcMVLbCCmw4+OJLxmaw/uxem2O+lJgrVcd9pdlHpPMkosYz0iB +7faWTW6K89gkcqPS1VwKLgTwOzkcmUcFmeC4HDspiOvUl3hhPY9KHj0dl25I +wZ3TmU9dHs7j/Huh4ZZ7UiA1a0F5EjOPEVYnz6U8kQI5sknnmdx5TNgvl3A+ +RApuydJ/udQyj6r6Ihd7IqRAa9hgazB5HmVEFZ3yP0rBlVMziw8EFpD3rDG9 +51cpMJuwWQ7YtoBZW7TGg39IAft/XGmXTixg07FTO9yLpGDLSeOv9nYL+EOA +KVG7UgoYDmjy3X+8gIdcSs5cbpaCEynMF67ELKBqwVkrqz4p4OBWP03kLiD7 +X43Jg5NSMBFIbTzZsoAv/8W62VGk4GVwfOTeuQXcKGXcc5dBGhapDsdZeRZR +3Ii1pJVLGu4cK074vmkRowrP3uITlQafK1ZOH2ERc/Zfnt1GkoZmDdPYy2aL +2EjvWRqlJg2D+l4vOW4t4qBKYQB5rzQUGabxuD1bxD4TRUJZRxo+33q/zPtp +Efd+jPkbc1IaSI7HN+JwEbXd7nSyXpSGAanR6f6WRbzh6vHgh7U0JOaIBivM +LOKww+nKi87SsM3pTckyGxl3dKVJ1HpIw0vLn8wM8mSUO/3U/tkTaej+b31E +bC8Z3Rb+4yRCpcGk8hfLt1NkPHP7juWud9JQmH9D8ZINGd3d15nWEqRBRWRu +5NhdMlrVP7POSaft9ztrMSKEjFosqrk5edLgxKpZLxBHxhb2gPSMMmmwOCxj +0/mTjLJL69e8GqVhLNF1oLWKjJYUCZuAHmnYYPjmxdNHxmPeYwdCJqThVLX4 +w7tkMhbZGIzVUqThsEORqhI7BRdIZwMHGWTg4eLZfkFJCuq65G1f5JEB+h2L +16TVKRj495w0SMiAn6U4nwdBwVs+7FV+yjLQUre3uM2Igt27jQ05d8iA/Cmr +iddWFKy67Hrc/JAMnGE5bhvpSsGcHs/yr/oyMBX9xNzHh4JKlzdb7j0rA81K +FQ0dYRR0EzToKbgiA9GXityYPlLwCL3oP/HrMuDTfkfGOp2CNUtDkol3ZaCX +8mLgWAEFh/23Du3xlQHZlyeq26ooKMbDk7kUKgNsxVGR6+0UfHVMW94/Sgau +9lZMXx+h4IX6lKtSSTIQOj60y2mRgjwvx471ZMkAh4sNoyA9FSfiH699KpKB +8c7CfWrcVOzP8u49VC0DF0VeTjwSo2Jc2fY8unYZSD2l/TaCRMX7pYJ7yoZl +YO3yhhT9VirKVFK08+dl4KbWRN2uPVS8vLnK/yedLAwcjb8SRVDxhP6n3w+4 +ZeH56STyUT0qpv/5qHNdXBaMdl6mNhlS8anaczsbZVn4VKNs5H6eilYHx1/7 +7JAFL4XfOzktqGi6clPHQUsWQrwemyxbU3FFhPvT+eOycNmWfLbxKhULZUMo +187Lwknrb7vxOhXd6/YP+9rIQk60s5L7TSraWoTO2d2QhZHU4tse7lTUtkqf +dLovC40dDX8+e1Bxa2jw/N0AWVD/4+/18D4V+x6cafwcLguvPIMbQr2oKFJ/ +MTEqVhb4YvhyOL1p8brYR4R/kwU6l33JLI+o+OGVWGlajiwkaqH2/97/85Lo +D9WWysIjvvzf/3v/f/KrfHF6oyy0bF22/t/3A/u33FDJ6qXhccB/6gttfw6N +GOLXlCz8jH7f5EmzX/l3yK17meZf7bHaDpp/WkobAoxMclBEXbtmQ/Pfb6fQ +mdsccmApvhL2v+8bTu+g07vLJwePZI072J1pYzOgXxWRA+Mwtv8Kafiw7JA7 +wCMtB8EDyzk6NlRc53hM2SDJQYvfp7VLNHwvdXu6uWyWA397+ikrGv4JuGrn +oiEHFTIst8+fpuLR27P6U7vlQOg6HJWn5a/7U1C5wUE5qDJmy7tPy69vgnDX +Hm05MK967z1By//S7c3fY/TkwNa4c48w7Xycqodtb07JQbLez+9WClRUb1+2 +dTah7T/3uctOnIqt8s3qRaZyUF6ed4ybh4pfL3DOxl2Wg4LW7eVUBiqmOs2a +MNjR/M/QCnUmU7DaJaVv2lEOul/oHdYao2D49ZyhHFc5SMj0/NzUScEkw286 +9HflQNbn/sW+atp98J282PxADnpDBLttiyi4WpH8R+mJHLBQvTn0MyiYOXBz +zChQDiaG++znafcv4+s9T95QOUhLYDiw+xUFf0Qwshx5LQfnY+uZCx5TMFZy +/0WOKDkQCNSMm7tFu39cfDLfYuVgq1bwXm5bCspKrj4p+yQHzG5q0WZnKHgj +zCz09lc5OHEyZ/m6NgVNfCVU3mTKwR4mnaY4DQpuejf59PUvOZiWraQWSFEw +5je795YCOdANMa7K56Ag3aGs+G0lcvBHZPJwOa1+BWVcHfxXTstvxV/d0n4y +brmRs7e5Vg7C79oN/KbVvyii3aewWQ6c5sUnsmn18bGbzBp0ygHbyyefsuLJ +SG/DIbO9n4b/iyeV72n19TsOr2aP0M4Xe2JWvAcZU9WOkCWn5CDi5n9K+bZk +/HltXmdmXg7uDAUKpNPqd9XXA/fMluRAx/DWzZZ9ZLww3zSza4M2X/PKtUKO +jNbMSiyTTPIwdnDn1Xpaf7B9FXbgEIc87D5QK6A0t4j/9e4zWuaVh6eHmXBX +6yKe3AhVPS0sD4+v2Se+LVzEwzZbJp9IyINVQVeMetIibi66brBJTh66LpyR +vvF8EdsfhKVaKclDGp3VT7k7i3iaov+JTVUejCcFV26aL2LXr5gz+tvkYU/G +Byk4sogdTxyvOeyWh7mhnru6qovIGz1et7BfHoobrnj38i7iOY89+yRBHnY9 +FmziIC/g2zqmmc+68nDWIJy3oHUBfYsr/oodlwcL1tDfX/MWUEhbjax4Wh4Y +v1W/1Pq4gCEJ7rpvzsqD9SkTq1O+C/hr6sK7z6a0+HJdotmvLeAHOOb2zlIe +5J6arQicXsDzrf7HF23kYWaTnV/ojgXsMq27PXlVHnxfj5+sFFnAGw0Pfxo4 +y8NtUswxN+o8Dr8oPu5/izZeSmRwbJvHWWlZf/u78pAiQVXYnj+Ppb88qpIf +yMP1JbG0CzT+8/WiifNzH3m4d3I54vyjebx0+WfBeT95UHkUsOO77TzSWQpK +PgqWh03bW5xcjs5j+PtcYcMweTiRJ2nCt3ke720bM3zwWh70tZn8GdjnkfSL +3H76nTxU3sgJ7x+bQznX/6pZPtDwVyuV0aicQ6E9bTIyCfIAnUeP/kmZwyz1 +hZXWJHnw61fQu/BsDi/9SvIgf5OHI52THzSc5jC6MteInCkPWg0K/1xPzGG1 +id/c25/yQEhn7ivYOoeB8mevfsiXB2G1/04d55rDBM8DRid/ywNl05vo4YlZ +fLBo2tP5Vx4SZaw+r1XO4rBARHBlhTzgpPnd4ymzGHcjqdqmVh68TkQezw6a +xYILlyJMm2j+KH8SMXGYRfO1fIHd7fJwKEeIcNKbxStHRuFHtzzMqrJ9+Koy +i7vb8y9GDMhDhRQjrwzLLE7dmShQGJUHl/qPj3MGZ1B2siacc0oe+O0q2H1o +/N449MdYyxztfBdlHayi8X/3rbciT1No9j057hh5zWDV2aOaSqvyEB9wdmGZ +ph8W2TcXxtGRQIFilte7fwbv6lX1cjCToKrHHc9JzOBpZ6UnlewkmP7dU91J +0ydZZCeGgzwk8Lk23xaRPI0u+5O/sgiSwO5rwEg4Td/oP69X+iFKgrXYMwGZ +NP2jbmxiKSJFgnCr0R6ntim8P1ThWSNHgsAZ+GsdNoX9k6ea9yqRYH9wctPa +qSkUm7Od891MgrZiIfsyrilaP3/7Y7s6CYbCjayriifR8W407eSQ4MeDfMEn +3pM4mOj5T2svCR55usW47pvEXGGDJx8OkGDvx6K94gsT6DIinDBBkCCW8+Xr +1dQJ3EwxUX9xhARW7EOPhO0n8J67e+uIHgk0Gmd65hQm8CT73baIkySQG5H/ +w9Q2jsY+11/sNCaBwThrz4XQcTynz813/xwJ+CSC6u+dHMcdIXnj8mYkOLzJ +RaCBcRwZ94X23rYkgdad4SI6HEPDO9UHs6xJQD5V3ulxbwwv73gkYGhPAlFn +fxG1HWMY/ma7T4QjCVzOlHT8nBxFCbdbvsQNGp5f1nUefhzFi/TPjavdSNDt +E3Ui0nQUbWt4tvB5kKC1zHv6tQhNr0dl16Y8IMFrZQu+uooRTFB/X7T8iARv +/42mzD8dwSTfh9lxT0mQH2ZAMTk0QqtxR3g0g0hQmJPwTnBhGP35b9B7PSdB +ppZlb/63YXRWVTov/4qG3+BS8TfbYZzc0XbbLYIELX+5tj+XG8bI5rLzmVEk +ICmW1tZ3DKHpvzPsp2NJoCqrxG7zYgiPgkJ2VAIJ6PcJzg2dGsKBzIFneskk +2GZeuD+XaQgnfOPiu76R4HTOWtxqziCOHXZoUs4kQe/FqNztdwYxevuR4oof +JJgxu2xXpD6Il2649ijmkcBM8Q6X/tgADj1wt7YvJAGzuPbNQ4kD2Pel6DBH +CQka9jaFvbYYwIwn1Scs/pGgUyy+YVhiABcF7HfxV5Pg+ZymYUJtP56zEuVI +rSdB9CGlT+3+/fi9sJ1M10KC4T6KIMuxfoxfEvNK6yCB/J39ZsnrfdhRmCMq +1EsCgRw/d92cPtSjcA43DZKgNsY8y8a1Dy3d9Fl8x2jz9Tlf6P/3fGs8mGF4 +igTzVrYOz0d6kZFBSPDzPAmSt9XrZLzvxccCb4elqDR/ZaOrS8x7MSR9ut1z +lQQbvlw9Z6V68cV/KaVa9Aqw0ZAt7t/Ygx3UEOV0ZgUYlZw99elFD2af367p +x6EA6ulBU3dO9GAuy13G47wKsL5pwraGpQeZ3Hxa8gUVYPaFsoFkUDdau0fZ +h4spwKHFwRVpum4cENhRLyOtAO5pwuFD97vQUP3iixfyCmBfN/Uvbb0Tc3g9 +xJyUFeBee0a6mlsneo8NzJG3KIBI0l52i8UO7Ls55TCkoQCOVxtmLK914K+H +aYtPdinAqtiDcb7xdtztKz/Cr6kAFLeH7BVO7ZiYQRfBpKUA9S2m3o+H2vC/ +/PH8BG0FEDJ7SWqxb8OjFNPQ1GMKoPJBgfdUbyveesl0PfGEAoStk4tGL7Wi +qsU9npNGtHj5Hc6y97XglYzTr83OKUBosbvrA/MW9E93fSxmpgBs8a2MTn3N +aG0lXlhoqQCZoSfB0aEZT+7fIdVoowABR1+nkEeaMKHNgjv8qgJsCdwPPS5N +2BN5TarougJwhjZpe0834mTXccH2mwqQ2tSfaXyjET//Yyx8f0cB5gLMJWxX +G7C//GVn3X0FAJWE7dceNGDKAQbttEcKcC7wfcRH9gacsmZXXnuqADnFDf50 +QfVIKJ/u3h2sAFwxHLEv+esx29JmXDxMAUoyvnbufV+Hth/n6x1f0/BlSHus +rVyHSm+a/BzeKcCE9IeN41m1OF/+lNPkgwIskC//uXasFqs02n98SVCAD6EL +xL7aGiRHeymkJSsAxwl9zU7rGvx0ILdcKU0B8u6Gc9R0VGPZq19lvtkKsDd/ +Y78ltQpvFWfaP89RAL9PjWH6SlUY56qWwFVIy5+dimWEdiXeCzu+uK1EAao2 +VPUkblSgzbnoe1CuAA8ODdcUxJcjh/Xb9d81CiB2+Oj5q+X/8BbThstUowKo +vSvpHaD/h/sUHOeD2xVgj0Ga4ODmMmQp+YP1PQpg+IZdNcCqFLcM5Z9jGlIA +7WOrR00+/EX/5456yeM0+8e9gg3rSnAk/MxRyowCJGhczngqWIJWlrlff5EV +oExofLPXsT94KC3j+NVVBbhz/kow2bsYu0IFv+XQK4LmSZ8j+yp+45a8mmpf +VkX4+Jxpgcz+G38xsfIvcinS9ETe7WPni/DNt8eaJwUUYV1s4EhiUiG6s7Jd +lhVTBFODJUXduQJc7Os690JaEdKlkr4t6xWg0YctRx4pKMLBhI5rUpsRf9d3 +m5ptVoSA6f1qmyvzUOlf1GCDuiLE108vmT7LRXKDQei/XYqg5yr7bnZ/Dn6L +JK9Z7leERLNPjzYz/UJr732erqAICW1XNWV+/cDY8kj360cVgVWnuGTG8zv2 +Z9/rYTuhCNn5icVaZtnIRN56V95YEf6RxGz4VbIwtvldcfN5RUh7yMFazZuJ +8R2t4rfNFSHL5LmsPmMGPvnZHvTCWhEeRq/5Cw+nYbvopbMnryoC2wnTj+ED +qWg8Ybzm66wIU8YlDhWJ39C1yirzkxvNn7FD5PiYL3gh+qyD7T1FKBRqvGxQ +moyPpd+3xHorgqgn6ZRby2fM/vv1hcdTRVio9nosLfYJd3FaLu0LVoTftkKP +bK8n4GnNibY7L2j4OVt2K6bGYUq98B+jCEX47n6B84PMR0zTv5WWEqMIVxPz +FOrufcDIkm2iU/GKQGrxT1rui0Gr0K27/yYrwnNeyf0d8lE4/ZyrnpSuCBKV +4wwfj0Zi/grfHeEfinDhQtnses5rJNeJ0QfmK4LD67eq0jKvcKH9F3mwWBH2 +pDa42qSGoSvLxt+mf4og4/x5tSfoORrGbaozqFUE9rgmnm35QRgmLy5i0kzL +z38FAikzfmj4fo/H4U5FUN1mk/799hNkl5XZkd6vCBpOaoJSy94o0DCxkT2q +CIbz5dXWq/dx4x6qEjOKYB7lcfWl1B28npfblkRWhNuvHrdGzbviP0uV8M+r +imA0WqSrZ+mENTv7fVQZlaCnsDnc+4wtapwMnCLYlWBUpYHzxpg5WvbV5O7h +VQJ+F/2QK6/P4EkZtZupwkowza0w4PBZF/n2MlfnSCqB1JSWeFf3TnyQbPVL +n6QEcZ4X3IruCiDdS9VCexUlWK6S+GG6x0hLe16N76q6ErwUXzLy3SSAFWw2 +h8d3KcHMk7Btp57vRAb80bW2XwnkvxjH6nrpIvtYQG3oYSWYKjAdtvt4BlO7 +jouw6CmBS+GAxNMxC1zykdLnOKUEv8J9SttbbPGtct7YMxMlcEh/+SVz3Qk9 +um/u/WiqBD8t+XwuW9zEzw3uhq8vK4FhitH1/9TvoBv3YDeHnRIobsnkb6bh +V2a7Z5rbSQkCzLayhSx5Y6JiQ3rITSXYobtppMfrCV6/8P3SzF0liH9fvboM +/vjpFNvTHi8luF0fudLFGIzxZyaOnvJVgszwhMy1b8+xeP/Gk+NBSnCSbbsU +tIVhszLL3T9hSmAifO5z0blX2DDgkyEdoQT/V8GVx0P1fmFCKCoqSygVM4OZ +MVtZIockKS0qJVGinShalKUiSYpUokTKEpUoO3VsSbKv2bLv+zrDN/rd3/x3 +Pvfe857zPOd533M+d2bOnaeMxw8+x6cNal+EIpTB5aT8uKjLC4za91flXLQy +hO4/fFTn0Ctif+D3PfZeGcz9Rj9+knyNORkzl+hJBB833Twd7kRi32OR4Btp +ymCCZ/fGrX+LD3w7FA5/VYYY8X9hpslRuGHneMuHfGXgMSYypq/HoPOehlNt +P5XBp0fK+832d3hwxYfJqHJleGDVoJHIH4/ypbp27bXKUPTShBhf3mN5fpra +pyZluBP2cM9UxUdUr0o7btmuDAXK9LWrvnxC7fQXGz16laHRcf3Siz2JqKZ9 +T5Q9rAxp1uZecn1JSN9vbm81qQyxRUMtmYu/oH7gdcs1s8pwVnq7vLJsMlqt +1CpKWVCG8QjaBidqCubHWh/+LkiC+97eT0atUjFBcKft2SUkeHER4OrtNNzF +O3/NYwUJTo+4lmsVpOOFvbH2l6VIcGHv7iVTwpmoeXvjwJwcCUyO8PfytmSh +1oaqpdPrSSA4p5N1NjAb9zM7dL3JJEg12fhRp+Yr7oIS2wkqCVjijdra0ojy +2eHVFSwS9Dy8Zdeim4NLlL2ubNckweoDFdOCvTl4w8t4l8pWEnQXXFtnE5mL +DlW04MFtJAjbkSlxxDIPO3eGbtu+kwTCU9UMx6X5aCpzo0BsLwm+lV79qVOa +j/+WCe6wP0iCkNZuh3zvArz3Wcr9vQUJ1rjceCi15TuiZ5vO4eMkwJsqISEC +hSiTUqETZkeC9O17nNflFiJlbUL67vMkCF06x9V4/AMP3Noo/9yRBMsyp+5N +HSrCcscXibkuJIjZf6FUQvYnruiyuWl+gwSGurCbNvoTtRkJV308SbDx5YcX +hhnFKNi9OGbdXRKcMozo/xL8C/W3ffsS4keC50+kF+5cLsEFMVejhAASfB97 +JRyvX4oBf1Wq1J6RwKqe7OqvVIby7sGPjV6Q4MmCY+iluTIc6HAIMIggwZX7 +ogpiZeU4qcF/PDqKwOf66MM/RytQRdn0S0wcCRr+6Swf/VGB7lmfB8U/kUD7 +mo4lQ68SbflSKObJJLCYtdEyIfqPtyMSW7dmkMBycjbzoFIV9p38LPfgGwns +tP7Opr+qwtf8Cl7X80lgrW9R1ff/7zdGMWp/FpHAP8c77wnR/5St4u/6W0r4 +O7tZuE+4BoULByoqq0ig8fpFcOHNGhQtFosV/U2CsyGjxwzniP6pdePSrmYS +DD0pNVcl+q+7hXMPItsJu/L1hSs9tXii+/ri3B4S3Mz1Er91ug4Njmvu8x4k +8J8x6E3/U4fqx7bWvhsjgfiz+5olNvUYtKvldOI0Cf47TZKDTqIfXE0StZoj +wYezz13jj/3G1E/kZef/kWBW6vvbpe2/0c4zqVhekAzBBkZKRlYNyN3C7vwi +QgZRZZ2FmPoGXH74yst4cTJI3FOslrZqRNmX3zSMJcngeLCbxmxsxCzfTfWG +0mRweb/awvFkE95JEJrvkyNDRH/Mhy0dTdhopK11WZEMByw+bxY/0YxSb9ZX +WCiT4Y5YoYlMfzM6k3mLB1TIQDkhzrl7rgW/xq6R/E0nA0wJdOhMt+DWnG/G +79lkMPfCvgmPP5guYK9B0SRD1vlbUu3//mCQUobTIl0ybFTfrK5G9P9hWg1T +PvpkiP0YUfBLuA372fuKx7eT4cOTDNYF4zas/SXunGFChs8frT/iozb0+m5t +Td1LBppfGPlJRRu2tzRl/XeADCbGZJf1Mu3o3O76JOkIGdh83xqfWrfjbEpB ++EorMjztCza7Ssw3VWNLv1fakOHGv8N90sT8o/fsXoTOaTKc4tubvJaYj4Jy +5/cKXCCDUkvr737nDtTaow9JjmTQcQuQ9Sfmq3Nt67+KuZBB8nVRsTcxfx1X ++lKff50M7+tKxw2J+Ywm4zVOcSfD6f7DSQcedOK1Z7OMq7fJUHe7u0mlrBOj +nnj0r/Uhg39Xr8NPqS5s7pCxvOpHhicJhqffH+1CASc3VZUAMsiMJV88EtWF +1wvuORQ8IUOuq1DKj6EuVD5Tsnp5CBnmF8XrXmR040jfoExKGBmu04NaWl27 +MT7Ju2VJJBmCUg8V3vnWjQ/OPC7bGU0G30Dh6Sb+HkzT6aZ0xZGBL/vxun8m +Paga7VROTyDDovrROJOAHmT90huu+UzgExtjcryhB79OTD6zSyPDo+HdNKUN +vXhPTFI4OouovySzONHTvRhg5KOon0OGpdvlySnEfH6mmmr0qIAMds1u827E +/B6r26qj8ZOolx6HHSJ6fXhu/Uer4lIy3CpPqtXy7UOeqHvfsioCnyBsuF3S +h2zBluBPdWSY6hJR8pXqR/EeoS7+JjKEUJeGR1r2Iz6uLdjaSoaV+48WHo3o +x7hzb9V+d5JhbandspK+fnxmanBxYx+RX0Fo7G7aAO47ruX7a4gMYwbWOreu +DeCAW1yC9TgZzkt21e/KGcCNiY+a3k6TwfkPaamS4CCe8na1M5ojw2hPRm2M +6SDaX6Ese7lAhsM2pn6coEEknTCYbVxEAaX9r7rNawfx4APr6uvCFJAcCPRf +s3YIKxNONBQtpcCcX/TuPpshVL5hYHRtBQXWZbTc2/t+CM3+3umrX0WBxTW0 +JTmjQyghu0BWkqWAyBnL2W+sYUxcMPEoV6CArN5Y1a6bw8i185ihbqDALtvE +4Y5vw7h24K/UAIkCO4YvnlwQHkFrWRfbJ2oU8A3RTwnfO4IimyrjR9QpsCjg +z9NdQSP4b42bQzyHAqes75VdbBzB9oA7sjJaFDipsGAWoTCKohvPl1/SpcCN +YC8N8+OjKHGV1Eg1oIBJuuxRl5hRXHZq+71QIwoIJTxOf9s3ikcyinTO7qLA +nzdHh6RkxvBIn8/jjfsokHzb/bPsljGUM9CiBx6kgOsM42es5RiOgUj5cQsK +TK0tOt3oMYarb1Y9bLOiwJCXhX9oxBgOPXwo9/0kBe4mDi1M541hj4Pe3eAz +FOBllcDD7jG852sdKGNPgcBM6ZhkoXE8UZ9z858TBbT4QpY7UsbRo39lSfAV +CqQp7TDIMh7Hn0/eeq65QYHrm/r8t58dx9GxbVRBTwo4fCpWO+I3jlyXf/Gv +vChwvmZOhT9+HBdizJaE+1IgXFD2vUrJOFZoaTk+eEiBY2XLgu8Pj2PlfUk+ +ShAF3pT77LorNoFXKm/HUJ9T4P6jGP0p2gRmOpLXtb2kwJZnXWf4TSdw/2OB +Ec/XFPieOVRjcmEC34/BovvRFBhklUbNPZjA8pNhShBPASnW05I98RP4YTja +x+YTBY43rspcKJ7AZ4otQYxkCsRmKYmY90/gjOBs+2A6BeIrth3sWzyJ01J7 +UyW/USA/3UGtiDSJU7emj/XkUcBL9fYPc8NJdOLMPiUVUaB2yoZNtpvEmtIN +iQdKCT5clC6qek2iyOTcF2oVBS6U7i/pipjEGJpvjHM9wV/nEm7Ft0n8VGmw +6HQzBbJTD6273jSJ+y0idxi2UyCMc7jDgjuJ2ml6hY97KMC8fCr72OopTBpu +jb4/SIHGe6+jNjKn8Jd0Xtn4GAW+Cu1qmd8zhbldAueNZiiwO7Nxt6j9FGYt +urvP8D8KbJLc9l+HzxS+naL/+cKnAoHV2nZf306h/JrNaiVCKmC7Lk74wrcp +zBaeripfogKC7NjaA7+n8H7UXXejFSowPXfKUXVqCiu/K5fZrVYBgYeSD1vF +p3G6J0GPu0YFwoTy6y6rTKM5NRMZiirgJ39Te8BgGpUOOm89pKwC/jZi9WGW +03hRK1d1VJXwP3ExQ+bqNJbsXN9DZ6jAc8dnkncfTWPEuwL57k0qcGvVOue4 +d9P4yzA73WeLCuxaNtI6nzuN6ksim0tABf65/HXY9//3MxFKv/yMVGDYfc/U +tolplJKpezG0SwWMz9WZ64jOYOPksTua+1VgCeWVxuV1M7hZ+vbNeXMVSFwv +lcDUmMH1H05LnzpGxLsgWC1oOoPZhxIqjU6qQOi5aeP///5f58uQ6MqzKvDT +Yt70540ZnFne+fyWgwo4/baTOBswgymRz93OOavAX92D5rbRM+h4lDw7cV0F +5K4ms/wyZpCufi2D56ECG1a8DuOVzeCemYfafd4qoPPpR2pI5wx6P18zdtVP +BTQ61UWuzszgBzUBfq9AFYiqnZD3XMLFS5eEAmjBKhCRW7KxTJ6LL0XGL+a8 +VIFzrEO7T9C5eLDo8ufKSBW48jKLvEifiynx+8m3YlVAbRF8+7ufi68LvX9/ +/KgCs+8b+0dtufiFaypQ8EWFmMc4t2SucNHuc/6qqxkqkOOzXTbBm4sizafM +36EK1GQMJSk846KOblPWle8qEHfNy0wwiouf7wsoqv5SAffbyy5yPnMx1GeP +w/FKFZC1pf5cl8tF67MHfej1RPynVP2fl3HxXP2NdZ4tKsBefm5LUjMXr5LY +xWc7VUAqRNHkZD8XOyVW+mv2E/44aHRimouKe8RP+42qwCvXU/6t/Dy8nK8t +7TKtAtobTHaKi/Pwzfkbx9r+UwHlqHOOkTI8fEY+6stapAr1Klxj5408FDt6 +01pORBV0mDvMxWg8/GQZCPeWqUKPqW5I32Ye2jqu23h/lSpkOL49GqLHwzVK +kk8d16iCyLY//uE7eJg14a3XoKgKydjaVrCXh69FrNPqSaqwRFv9629zHrqe +vOprQVMFGeeL3FErHvrstTiCbFUoOD6/eo8dD+f2STWla6mCnMHNMynneNhd +UxmzCVThWlpm8txFHrY/53+03UgVGotFVx52JuI9wvn0e7cqWBbcVne+xkOn +mq+Rew6owkC2wbamGzwUPk0tNrdQhdE2jbxr7jx8Tv7T0X5cFdyerM3/4MlD +yb3DHxZOqcIjcm2H0m0eRmk/Zc5fUIW1D/PK///+f93+kRUel1Wh1Ej61f/f +///TNioNuK4K9IS3oSsIW/BEx7mNnqpwME5zvxzx/KlQmbLnd1WhxOzub2/C +v3fuwMWwB6pwwK/nv6vE+s25F+Q2BKmCfuToynAivgVm2ieNEFVYHVLea0PE +3yYcc4IWrgrmD71+fSDyu1ZXsvltlCp8vGnybpkjD8+wVeLfxRP5+m/8yzzP +Q6rM2Qe0JFX4sDHuxwECv9svA1r101TB9Mv7eBNrHsYwrgZt+qoKf+KpH5oO +87DltWRsbD6B51nLxj37eCjx1Y0e91MVxq8b52UaE/meP6NPqVAFF4OxL+ME +vyLSktV361SBIz6f8I3gn8//y8LlZlU4280ZiCXqQ9FJ1bu5QxX6s2M36hP1 +E9myPqu2TxWk6/gXi8rysMjz5suPI6qgWN2a92AZD2/MHArnm1aF6eC8tdGL +eDjZeCOlc46I50mh7jIuF0cMdLnArwa6e69vmSXqu/dunKybsBqUt0UvCyPq +XzN5oWWHuBpI1f+496mci0fVf970X6kGL1Yv+0Ul9OP64wffSVk1CLkim6H2 +hYupR+smV69Tg/ru636C0VwUagZnfWU1aKzzfccg9Ln2bseTBVU1yGNGNfy9 +y8VtJ6aYLKYaBDTn94oS+iYtPVzB3awGF5727PphR+hV2+3PSx01iM/46Od4 +kIufegokUgzUgG80o3SbARePr/lJsTFWg0PHt5p2M7j4ZNjT9PYeNahd4pge +qcDFwCMVup4H1UD+yAX7HcT+ZC4YIC5xlFh/Vq2pjjuDb1eO+qw+oQYfbajR +DsT+Zpe43C7hFLGez3jf3/IZ3LfdYBXpAnHd9NNsUPYM1hXkLV9+SQ2oCmb6 +a2NnsMS62vPhVQK/feGrs4NmUGLaSNnNTQ1WnBrjgNsM5izql955Rw3OJNzR +KD41g7BT6uOHe2ogXdO199a+GXzV2r/G86Ea/Li9IX+p1gxOqm8q6AtSA6Es +3YcVG2Yw47YZNSeEuO7itNJqyQyGOg7oXQ0nnrdp1/o3Po3tZ+RLCt6qwSpp +i6SJxmkM+eqY6xmnBu+2b74VTpw/7k7Rv6sT1IDVEsX/Lm4aYz0bh4ST1UBy +i/9I62Pi/FL6tDE2Qw2eJOsJ5F2bxrKBV9/avqnBzmZVN+nj09i2u87Ru4CI +J/+d51LDafz5b3m2cDHh70rNYjpxfo7VnswmlRN8sPdINSyfxiUGXk8TatSA +g/pxq4nzdyVVp7qqQQ0e6vkkdDdMoYOorcTvP2rAHO+WmMMpdDvRPWvYRfCz +ZHbJU+J8l2Nde7q3Xw062vqu1/gS/QKvsT1nRA2EaR0ZgRemsN3UKKhiUg0C +k8Vj5vdO4Q7hirZcnho88sj5kMkh+olWxx8yC2rgsvhie58U8fzH77bLBaiQ +2u6u0zA3iQbNll/Oi1Bhrn2en9U8iTkhFU6x4lQIFF0e2vR1En2eJ26+K0kF +O4/9h49ETuI5547XhdJUUDp/SIhzZxJ/7IrIj5OnguUOkeRBon8a8YhLdVxP +hWMepm1CxpP4vnTmcAiJCiHt6YevUibxw1ufWVs1KnA03asrl0zivTbu7ccM +KhwPPubuNjCBh1/q5kduooLhPPWzH9HfOclK7TTXpoK7v+HVoA8TeJFlUnFB +jwpftzu2txP9ofGHy9tJhlS427PPkeUwgR4xPiL6O6lwRPq7Z8GuCdz1xWS3 +3h4qbNssoFmgOoH1zUWZnWZU+E+wjFm1dALzow2Teg9TwdojSVtuYBz52Qev +BR0j/CU72boWj6PCtwXhDTZUaLCKnTR7P46+tab2K05Toe/d+jmb++O4yfN7 +Tsx5KjgXySc9PjeOh/NyLF46UiHF8QtrwmgcS+OyS1xcqLCrRiirXGkcWRkr +93KvE/d7lbX9FhxH2wALz3p3KjwNvaG4rGMMDbLWH7K5Q9z/zzjTJXcMNTdf +Z1b6UGF1qnC9beQYXpHX0Qh/QAWZtD+KN4n5IHX/u47VgVRIOH/OpNRqDC1+ +vNwz8JQKb/TFjxpqj2Ga8IWeqFAq7Pda3LBdegyH6cHrloZToWX0CVtqeBSV +7imllL6hQu5Axpmu6FF0z7jjpBNLBfsPwW7bbEfR4aSNpvAHKvivNTjfvmYU +g5b7j6cnUqFERv/rm+oRzHOsT5JOoQL/cf44wccjmJQ7olGVQdgqa55FGo+g +aMhuXe1vVDh8aty6V3AEP1f+U/LLo8Kmm6OrbfKGcfWFsDebflBhZofGnOSN +YXxz4L5dwC8qnLthkHpj8zDGbzkbbFhBhdiFtQdlhofQ4qfQZHsNFTrtDzSP +RA0hs/W1Cb2BiL/iiu49Yn5UfOnAX9dC8GtHSz4oM4QhO3JW0ToI/hiRFweq +B7FMkC7l0EMFnwKJke+Bgzhyf/F1kUEqVEY4NtTtGMTnt/6VHBilwpahc58z +BAbRSGKFz9wkFRYk77CqMgbQ7fmie/t5VFjmfGUu12UAS8LlxYL+UsFN4O8S +fuYAyiRbyND5aZCvZD9/rbcfm1e59t4QokGVwJX/St/040crB8uNS2jw9Q/v +m9WRfrxO1clIWUaDkdQr2tuW9+O1w7KGs5I0WNH73WXZrz4s6I9ND5GmweL/ +nhRL3+5Df/vnz/rlaPDlpsaDJTp9GCVXWKWkSIN3w1vPHeX1YqZGw55sJRqU +rmpOHk7oRUOnETchFRrUCWSIO57vRdvNrxnvaTR4IbrOSFOxF9coX8zYwqKB +oLH59Kn6Hlzp2q/msZkG6qw17LtPevCV7Z2XCltoYN+dVD25swd3FmaudtSj +QRL712pzoR6sS8oZUTCkgWG0VZN4Vjc67/m4Pt2YBnZBKi6sy91o8C3omqAp +DRxc5fbtpnejHjk9P2E/DaL4+R2/d3XhdbFpg6XmNJjfJce36XUXpkfF6hw4 +SgN/Tfix0qoLw+W4FbPWNGA7kO4cW9WFqZ27H5vZ0kB7NOLiy4pOvNWp6bLk +LJFPoQHZ9F4nBnvaWCXY0+DVhn+7rul14oVwhSOCl2jwWk1WJvhvB0oOdutk +XqHBtyuLvnOSO5CcrUXZcIMGgQ+/Z/1w6sAIdo7gVQ8ayJWpWFXROzBJRPaF +qhcNrluMi1/obcehKZP/Au8R8QlW1k5FtWMJZVXOEX8akMMlTstYteMyd9vN +VYE0uCp1LkVtTTu+mahv0HhG4JOwJCzrdxuuK8kr/RdKg/5/XmnTj9vQdzdL +wTWcBt6hzw6v2deGTn8X3Tr0lgZxPu+/z4i0YUXppfi172ggO2sXm+rTilnj +IrkhH2hAk26a3CHUitl/H01cTSL8tbS1OLr+QUr9qkahVBpQpo5rHeW2YFg5 +WeF2JhG/h/HJnddbEGZ9/GyQBllvt5ZzR5pRJTaQNJFPg50W54SPODajuNHn +kr4iGuzqPLo8tLsJNX1zHUpKaSCyOa2JZ92E32zWvDleRQOP1A3BM+2NmHWj +X+xoPQ0Y8/kdApaN+E7qUYZAMw3+xCTpxLQ0YMjGvbmhbTQwOppx8pBtAzbo +iqmGdNMAzwvKbW/9jSdEXRW2DxD4TZa2frb7jZnX+85YjtLgSN3U2/tt9Sgs +qdS2booGPdJrlatP1aPEp+q4Ch4N9o3k5dNH6tAh/YzY0DwNjhl2x6s41GEP +Z3Fl8iI6hNE83G9O12LY2wOb+oXpsCziRESoSy2+jXb1WSJOh8LPCpIDMzXo +VSW957cEHRw1LP9cvlODpOW0RSul6TBkIA2LhWqwyuFlyqwcHWSX00zzA6vR +8E/xmRJFOti/P3fs2bpqLLv+7YA4iQ66RdNVSdFVSF6223hWlQ6jJ7pJtZwq +nJkWvn+QQQcZjtWta1mVON+FVmGb6DCb1htutr0SVfJDOI+06XDYBLzFf1dg +upJP9pgeHVx6Gh0enazAVKYc/jWkw7eU7Wnpg+X4R/29zw0TOjTz19sfWlSO +W6LfhHftpcPYq2wZx3Vl+O7p+cXcg3SYT+gWKTEtxbfr6/3djtJB7hIz94d9 +CVb0Zv6KO06H51a/9zWH/cJ0Vztqnh0dXogtkZOtLkYf11i+vefpoGR73PfZ +3E8sq6y2veNIh5NL1IuKWT9Rjyt6QO0KHYzfTWkXHCtCe5fQDrxBB9FDdlu3 +PPyBGTdlSmY96aBgfFSNv6wQ2bPnI+Pv0oHP45KQLn8hZu68T+Z7QIc/kT+H +nxp+x4DcM3wQSIdqsVc0wUcFmK0sIMB7RgdL8ZSaiOJ8JKX9uXDgJR1OBft0 +9kjl46WnxwQokXRYJzOUMWaeh0yrMLOIGDrcKz5Rah+Ti/yWEaf4PtDhR1Lw +m9n/cjBAqLi9KYkOnvOlv8WNcrBXu7r0SBod/tY3v6ZtRSzwO628/ysdLkst +1w1q/IoPNycGs/PpcKI252mrVzZSul9SUovo4JTk8lHIJAvXbVY8lFJGh3TJ +NxL0vxnIkffrOFBDh1uPfpXHFaejzDeXlU0NdAjii9omEJ2Go5MxPa2tdHCD +3ORVDqn4yncS73TTYcV8pP+RfSno9idzZdQgHTY9zxjP3pCMh3bfUUkYp8Ni +Hckd9fxfcP36d8MHucTzof11A3NJqCnPI92cp0Ni19Gl/3Ul4j3PymO6Aupw +IbjhW2XFJ7R2iqz0FFWHNQFv7OOyP+IDrfX68cvVwVqgePJr7ns8vSTNwnG1 +OljKYFfXTBz2hNHdUuTU4bO1ihz/6nd4rNHA+9l6dcjj357bZBODdtcfCBwj +q8MTlY+Cpt+j8GDmE/Uomjr4dUR0JK5+i8te3p7xZquDiHGei1BQJAqtXD7a +q6UOY8Ly5mrzERgXaU1nAmG/cP+lIfwKOy3e/JIwUgfNZTLaG2xf4K7lYgpX +dqvDaVTbv+7dc7y1tFLZ0UwdpmPMZme3PMMzHUDec0QdZPV6ZfLmgjAhhm9r +orU6vIuL3Kz/PhDVRmNaY+3U4fVeluwH44f4pVng54YL6uCpqAgnqffR7h+H +dueSOvg375L95HAXzWvHxq9cU4dG+bnkONYdXM2dFR52V4cttRFpClx33Hup ++g/Xi/D3VG8J3rmOlewuMR8/dQiN36U2zHbGJGXNe9xAdXBqXegV1HDArRrX +i/8LVgerS2dee+WcQsqHL5u9XqlD4jMYCy2wwjsZOzvD3hLxnKjqv7/tAJ67 +5JIdEqcODZN5J+T2GeGK8b590onq8JKPZ3vnMBt1teINyKnqIMi/bbf/lVXo +HNIXkJGtDjFnndPWe7tt7WXKKNHy1SHw+3Tt/dzVKLdf/wjzpzqIWY4Gbq1i +Y/EUHyWtXB12HdQy//fVCI/d0mOU1qpDzwozpXXJBzC59/qn/CZ16LpwJKtE +zBpTFRdPa3eoQ6tIVI14wykcELwqYtBH4OVUZZlh7oAiDdOaP0fU4Qj37Ltz +5s5YLZr1t3WKsJ++GZfddh3J63edqptTh82H7fwevnJHBqrNRPMxIPH8k5CX +fHfwgcGQ8zsBBtjT2vNZ+nfxstMhuYHFDOg4Yk8CyftoIaK2UW4JA67LPgi2 +kX+ICg33h1aKM0CO/awr7HEgbnmcsXvXCgaMzHzj29QVhEvtAy6ZrGSAj50v +ujGe4VT9o7+aUgxQNDv0aSbgObZssvA9JcsAHZlrpxW3v8BHJy/zHZVngHpZ +U7X/YBhuYe7m81/HgDyhf9YLQxFYHl+z8GEDA1K3zK3Vvh2JS9P4lYKVGWCj +eOneab63yBGao2ZTGKCr8z2GnhiF89/O3YhXY8CxcxtCdM1icL/vG0otnfDX +peZ8mvwOn1nkfxlhMmBPpG0kXTAeewWHfldwGOD/r4OkW/4eV8mHfx7RYMDp +Sa9Yo4qPKHDu+v5abQZsr5iX9f76CUMNQ5Xe6xL+Al/mKNQnooLvSv5sYECU +o9ivssEk3HjFRix4GwNc+E5fzZ36jM0xacHxRgw4KRt9aqNcMkq9a+ZL2MmA ++7vdoqy3paDCQZLEzd0MSI7SvCxqnYpXLvOP3t7LACt6VvXp52l4om4g0cyM +AWVS884Geem4j36yTPIQA3rVF66tGs5Afn/TpWJHGPDgvOOF7xpZGNI6llh+ +lAE/zj8s3Hk5G0eU9g/8smKALLkh5W3pV2TVPq4KOsEAszt6T4akEd+sYmnv +tGVAvOlwyQtGDiqUNNzTOM0AybSn1eyeHCx25UiPn2VATejCuZtBuXjy6C/+ +jgsMOFuUVUvZl4eKG+j0vIsMyP6+PMBSPB/pOZoaFy8xoHLpypJrOfnYX7sw +uM+FAf1G7Luudwsw1f5h6b+rDChx6ghY0PuOkacVg8JdGdDDXhOsM/sdtaho +5ezGAI8DPXvqCgpxfYAjd5UnA7yNhyn6d3/g8V9CkkO3GXAgKSrz7JEifOs/ +3vLZmwHimpGrTVR/4pHqDvkd9xjwpObRij1jP9HxdJvGCj8GvLv+nv/mz2K0 +m/qhEOvPgPdv5u84Bf/CXU+32tIDGDC97uL2CzYlyBCrGex/zACD/cGzE3ql +qOnl0+38lAHd4Yn+MRJlSHtcFMV8zgB9CeEeTW4Zyt7cQPsWyoBTx+zq35SX +46OpXzZbwhiw2Myq67dZBf7RffpzMJwBIoothn9/VOAKM9W3FyIZsPK4rOC2 +zZWYw3dRe20UAw6eyL5UnFCJe5aMnMyNYQDl8uG59aQqfC56J5YTx4DPZstv +mQdXYcOFSYXG9wxwu3/18+SKanRWlRXdl8CAR8ekdu30rkaJc/c10hKJ/IUW +2pRnqpG+TVVm3xeiPgPG3gVcrsH+t+clM1OI/D9tc3HurUH+ysWHD6UzwPHb +LadFZ2oxy8qmvD2T4GObmeeq7lps4ooKwVcGrO5Tk7CzrMNeJ6p4ORJ6xH9/ +PJrrkLHdW4WRxwDyN6uRtEP1SNHhZuYWEPo4WnWxrqEebWJ9Ow1/MOBaBc9r +z7HfmHDQIefFTyIeLkkgoe437vyv7J1iCQMkfu22X3asAS/HfAxxLWNAk9Eh +f62GBrT2/FhYUEHoeWZ1n/fBRsRFypF61QSfXTs9+hsbcVGOlsyjWgaUHlUq +7jvShPXrI0eX/mZAiuDzq6s7mrAuc9sSt0YGTPInHzx2rhlnrHwP5DQT+On3 +9C/va0ZfgxPx7FYGVGv/XCt0uQVt3LTdbrYTfJMbR/dMtqDkbgG11E4GUPkz +W+5c/oPVW7e/XtfDgN3nly9fMv8HIx6lS1n3MWCHVMnObx6teNbeb82vAQb4 +nRhXGxBqwyKTXWfFhxlQF8rP4zNuwzLhyBGDUUJvhrkBC77E/BCe8DNmnFiv +X+P7l/I2/Ogzd7ZtkgFOygf/dUi04+LIVrrxDAM2n+8yKbdoRzdrk21veQyI +fTEmHf66HQNH/INq5hgQtr/+SF1HO8bb9Lay54l6TB33r1DtQP9t12LP/WPA +082+fmoXO9A91VHnCT8TVq2s+nQ4sQMNm54kDggw4fu6h6d38jqwT6NbSHYx +EyoY1LGHWzpx3YdT66+IMGGytGjqhXcnvvRIU/2+hAl3NVZvcSnpRKduvytD +Ykyo08u1fLK8C7PTRq/qL2fCq9roQLcjXagismXEQYIJP05s+B0V1oUX6wQl +ilYyobNw9EFITxd2k3PdpaSY0LKOdXy3OjGfbmUl68gwoUdK1pXj3I2/YvlD +AtcwIdr0++ve7G70J/tezpZnwunTFfo/+Xswg0wdb17LBNcf308e396D4z2Z +ZLX1TJBucZLERz1osWb++f6NTJiOosYaVvegpmHpz2hlJgQGiT0OUuhFpppt +xQiZCZ88V5Q9Ot2Lt+s4u1eoEv7vLLsVF9+L8q7e0cepTCiJpAjfn+rF4dT4 +p/eIjumvjenwHa0+PGg7GRrFYILo5+rpDe59OEuqfD3KIuI//svUq5CY/69c +PrtyExMOiRW+5S3pR7HnxXtPaTCBtyPhjuqhfvR6KiERqMUEk9s6ehdf9OOF +Igly4hYmkG+s2rPvTz8GgMzjBV0mhJuZHO0jD+Cta/LjysCEFVrtSY/sB3Bp +6J6KmwZMWKi6+ag7dQD3X6jW/2HIhPxJmd6qfwP4iCmWPWjEhLgroFiybRB3 +jI/Mbd1JrF8iJe7wcBDTEq33XNjFhGTLpAMyVYN4Indu10NTIt6OPoHDq4dQ +/EDz0T97mdDg/+8T23oIHyzfe1vEjKgH4N+85s0QDg5d4docZMJH4TcPgweH +sC98t2WSORO6ahMtX7GHUfmVYHnDESbYZ3W/Tbg6jNePrxhlWjJh8eM5j504 +jOOthgq2VkT+oi2/RxaN4Jb9LZv8jjMBftt+XLt9BPWGHli12zBhEZv6t9h/ +BC014t0l7JigtcnBwrt8BH0ZlguXTjPhha3WkU/So4h87g7vzzLhvVfSnr6j +o2jbJ9/XeJ4JhkI/wmPCiOvNFawtDkywca2dLewcxY3dqdtdHJlw+OP+wr+S +YxjpFOv95xITCmuVo3I2j6HUH881ei6EPqRcn+VajKFfzAPj61eZsOl1m+ee +G2Ook0Ta2HmdCfPHsd3t1Rjmbn/sSbrJBB2lHfc1v41hMH+N0Al3JmQtvl7D +/jOGq9aKZf/yZMLLSo35Qr5xzHnFTll+h8BHIVl6UHEcNdZ3GPt4M+G/u8eX +RmwbR/43sWaTPkxY0vVK2//UOH6bXGOueZ8J5czA0mV3xxFV5e8mPiDwmyxa +KRU7jpcWGfrxPWKCk7l4XXLhODKqbjO8Awl8RuuhvG8c+5fXHFsIYoJz+CJP +xSUTuPpyv+i+Z0yIMOHVLVOZwOzQhana50yQ1Ptsam8ygZptj1nsFwT+JrlH +z52bwPq/JmE+YQT+3z7uenNvAoX1s1uWRTCh4MQfhu67CazqmRO7GMmEo1pa +gh6FE8irCApaFEXUiwl3dHXvBL5enxL4MIYJc5Vmne2LJ3Gn3onlo++Y4Pnt +6CpLpUmc2IEPfN4z4dgJ80fyhpP41OiU2dRHJtyMNS5/ZDOJK9RUlY8kMsFx +TJOs4zGJrdwD4ROfCX28TAzb8GoSu902jpxMYcLzoq30vIxJ3Ldj3H5JOsEn +KWvLo9+TuGfa/Pa9TGI/0XloIT09iTmHY+7NZTPhqtTunMYVU/jCf7ttNDJh +29oVoRn0KaxgGu1RzmOC8LFLv6xNprCJ4/S8pYDQtyDHTuLsFMpnBkr5/2CC +2ShkTHlP4TgfVWZ5MRMkepfWvouYwo+/ksm/Soj9x23pmcPZU7jzQdply3JC +j8d2NDTVTaF9Qfap9komNPIYGi/HprDLkLE6soYJby/V5uqLTeOL5tRLnHom +TK2QTfmpPI3Ntn2yixqZ4Fd0u5uiP41X6hd/+N7MhIO8jrB0y2nUNjY2PdbK +hESNLOZml2nkTJ7uoHUwoa3Q4fD/f/9/JrCPVdVF8Ll/7ty7mGk8f5a0kNTL +hBA7V/cHOdNoXBRx+d4AwW+/+ubwhmnkGpXM04eZkM4+V/N5bBqlPWnvJccI +/jyt/jsuOoOf+XbIFU0Q/FQW9q9WnEGpyGj/y9NMoPSZ6YpunsFt0Xb5Fjwm +WMkb7HE3ncEwmR/ykv8ReOy/YSxqO4PlnZ9yhReYoGj52G7RjRnkXLwdMsHH +gnQr8Uxq4AwGFon3fhRgQZ/+yF7PqBmMfSIjE7uYBW9YpCylzBlk5fNsnERZ +kLfC2X6udAavrPVRpIuxgPM2/JhE+wwu09+5S3U5C0IY/l4npmfw23PBzmkJ +Fmi1rrIaF+aicPXwYb7VLDBJ1/SMkuNikVux+T9pFlxl3Hz7gM5FIY1z0qVr +WHAhcpfdDz0uahVIaDcqsMAmPNVJxIyLNO+1hSmKLBhW5veIPcnFFxWa6yo3 +smBHQ9RxjgsXC58WRrWRWHB3w3/+M95ctPcKG/yqwoIZ3e971J5ycWH1cacm +KgusHsz8pkdxMf660IFCdRYkc45offrMRYO96RFRLCLeJ6xDrjlc7JMN8Mrf +xIJatV6RyTIuGq6NkfukyQKnym17/zVxUWo640nTFha044B7ZD8X9yXM2Py3 +lQWLbq6J85jhYuhGhn6vPgsShOa/CS3i4S+qicmq7SxI7RZZxr+Mh41GX5eJ +G7PgY93sUJIMD+9m9rnzTFiQf/jZspQNPCyaTDikvIcFdpVvQ5bQeJifttlC +YT8LmCa0xfTNPLxxM3Xc/CALilsmp+/p8fC+Wa6F5WFiveOlF7J38FDUbmR4 +/1EWVJtWD+3Yy8Os9X7KflYsCKyPedxjzsMjC5ee+59ggfqrNLkbVsT6E+1p +FbYsWCFq/0HAjod3onszF59hwcYTqwNqzvFwu6690+LzLOgu47NMvcjDHoPF +P3Y4sOBpjZDFWWceUoxHfMycWMBt0duldY2H/8x+BO9xZoH1V+tIhxs8LEg6 +o+Z/lcDzx2Hr3e48fJpV+C3Ilchfd6TptCcPeV066Y1uLLB8wUoQu83D0db2 +0JW3WJC1TXbo////P/Lj8JLVXizYt3Z3iwVh3+qP9D/sw4ITz2WHJAj7vNHY +iZP3WcA6pOT5////93/wWDDBnwXSwcz1UYR/1YGEkf4AFgwSH2di/YvdFzuG +g1hgKyHKV0/EJx2nspkVTKx/5UaMLRG/+Aphh62hRP0lnI17TuS3vvlWmHYY +C573xM8dciT8y3gkX40g1u9ooyme5+FqN52gG2+I+7XZx1IJ/BK9rsx+jSbw +vfOVAtY85IaZdY+9Y8HfvM+P9h7mocpy20eD71mweGGx7959PKx8xWUrf2LB +wE9eJMmYh7V6/56QPhP6o2Yb8wMP5/KSnKRTWPA2OvfaPQ0eWrwMTDdKZ8H6 +jG38xUR92L4eq9bNIvRp5nKQpcTDqf7EnsvfWDB/d9tafVke7jwh1OyYS9SX +zuLFvkT9mTKG5I8VsOBfgWqTvwAPT0papd39wYLjJllS67hcZGsJXbhWzIJb +8nsslgwS9fwgZja6lAWxZwbcL7YQ+jgs7ZRfwQL/tsyEh+VcXD5atyuumgUv +owaCSHlc9Flte+RXHVEvHUufKX7h4nUZ69GUBhYI/ctlRxP6K88SzgxoZkFo +ZGfK4mAu3p7uE4tuZUFTnq/yl7tc7HHM3eXVwQKD+GsnRK5ysdJhj19YNwt6 +BYSPBNtxsf3ko6ioPhbsVf7K+UfsDx0jD2SvDrKA/mhrAt2Ai/JhT1pujxB4 +0tP/3lbnYrBo1+bD4yzQiGabv1fgosT8mja5KRa8M/S/VraUi7/2pqms4bLg +gNzKBX7eDPabfvbumGUBL3xyjNQ9gz6xK6Y7/7LgiYL3gd0VhC3yIvvTP2K9 +J6HZl7Jn0MQjfPL8IjZYxjQ8ff1uBl0Hvj6xFmJD7EXtma9BM0R8h6QVRNiw +6JUTbdp9BturjK6uXMqGrV2B28TOzGCcv/A/njgb/ovpFKLum8GjCcZ88SvY +IP1tkuehPYO2O3bSglayoULklVrkhhmc2ty20UiKDZnfz74REJtB9cenu/pl +2DDr8WTOd3oacz+sVy2QY8PEgAatrHkap4Yba8+vZcNZv4qI89+nkSrd4r5j +PRtyXRP3Z76fxiR92/SVSmzAoqwkatA0Wp3Y8N8HEhtYDP9KsRvTaFMc8OSm +ChtGK1oXBx2fxsH7UQ+kqWzQrJkPCjSaRp1gP/M+OhtCVrlmU9Sm8d+ztL/v +mGwINs5PHl8+jZTlP99rcNiw4aNjn/HMFAatPvhwbjORz74/ArTGKey99Kj8 +gRYbuowm2PE4hZHPbr0S12FDViOpwyF6CtdOq4vmb2WDRHjv98++UxhVo+Cx +Q58Nt6lSLm8dpvDam3jpf9vYYDvl83Xpvik8f0ldIdaIDdeKv4hnsaZQ2/tx +7dqdbFhSucmOIj2F68Kebfi5iw1sl4MVi2Yn8cSd327b9rCB/rY2Lqp5Er3q +z88k7GMD073tzOucSexvC7m1+wAbDA55vfgXOYnvuFPZmYfYMBjk4LT57iSO +m0a+OnqEDa7dpk1Fpybx6Ptnvr+OsiHO/lH0FmPC/hI/QLNiQ31GV5yk2iTW +djy8lnucDUHN0806SyexxqUsiXSSDS1eFXrmwxOYmZ6fnG3HhlKU6MoqIfq9 +M95KhmfYYHP3UKfPhwn8smZt56tzbLj75depvY8mcCN71xzZng1H7KmNxxwm +8JhvXIr3RTYkpj/b6rhnAv+oq/tWO7FhR8zgn2n1CSzhRe81c2bDIZ+bTZnL +JtDQQe1A1BU2dC6/ePDx6DgWLqXNKV9ng65+w4/XpeP4njabHnqDDSsWbowr +fxhH3e9txu1ubBDdrxJd5D+O3Pn2jUc82RCRteJn+PlxvOjuu+7tbTa4F3gn +hZiM41vXVkUFbzbw7djom0sex/HaO6/v+7BhTyXnz1khwn9RZWmxL2GH9eZt +7R7DgGlWmvYDNjTdUFLRyxtDZ8abEM+HbPDS6sx1jhzDZ9UuD9MC2PDSp3o9 +6/YY8pFP860NIuLd9GOztPUYnjxkMmjxlA3V8p05WrpjSH8tsaEgmA0rtT51 +ecqOYc1u5q31oYSdrfXm7dAohqVHVRx8yYZtXbrc6vhRXBccq5P6ig2O4D69 +3XYUA83im4cjCL1uehdkqjiKe/uGSLJv2BD1yULe888Ing0aPHM5isj/c0TT +cPAIel/avfNNDBs2pvX9x3dwBLsXngrwxbHhkkd9uunSERxp8PDZ/54Nj28u +FfiZP4xZK14tv/GRDRqZfa4zt4bxIZ1pWfGJDYGp69UtNYex4Pb6zvkkQj8t +eNJ1agh3ZZbJWySzYSy9uqMkfgjbjgzffJfKBsnCX3ctTw5hcYfapfJ0NhQM +52/5tHYIS/97vVIpi7A3OP/QqB1EHTNw2/eV0E8iX+iDwEGs4vc/fwnZcEGN +xiOZEvMp6fCSnFw2lFenNHEFBtE5Y1FGTz4bhmzunXiFA9iygaSwpZDYP3I0 +RFyvDGC382+OdxEb7DT/aL2hDmDno+iw6GI2qO3u2fuurx+FI7eUT5UQ9Wy1 +SKs3vB9786OvyJezYY6jdkv4aD9e+7ZfWquSDdP7yo08pPpx0TmXSK9qYv96 +diCEWdqHeZ+OVMTUEvh/3HquxLcP/914zMerZ0Ozq1dHzNY+fLwiZ3x9Ixsm +P5Tb2s/2oj9fx1r9ZjY8mr/vGZjSi7qeWjcf/WGD25TEwuyFXvxNFchKbmOD +nNn3UjNyL1oGrNon2skG8TTRH9PNPZh/q//YgW42kByF2xae9GCwlfjpq71s +SE2d9Zjb24Pl6w8LFPUTeh29amC8uAdtfHrfTwyyoVfppKtfbjcaBO8fkBxh +g/d/fZ82e3SjQtzsopNjbAivVZzQ3dSN6fu2M/wm2LDULqJDe7wLo3cMX2qf +YoPiqT7dF7FdGLOXz5/OZcO9K+6K9se7MEKxLuPgLBu4+eo/2fJdSL17xyz+ +PzYci6zjnKvuxFBPFl/DPBHvcdWypwGdWBJRZa3Bx4GBkHZ7DaNOfBajX/xg +EQd8lT5OHljowLTHVO0MQQ7M2vnX22V1YGDKpVwpYQ6Mu08fm7vcgfrhfDtM +RTlwxVMu0ku9A1OyFUtdl3Ig5jIt+O5wO750PVZXJ86BgyfVE9fEtOMB/8Xf +xFZwoE24gxth244y3qtuOUtyIOlsSVCBXDuqsI/4V6ziwELU3QL72jZU+czZ +vViaAyfKCgz5nrah4WMxGwdZDpT9oTgbmrah+vIvqz/KcUC0/UHhpaVteMJS +YbhDgQN1NpsKdYJbcUCuI8REkQMXq/Tj5Za24pDq7Y9+GziQseySFt7/gy93 +3Vv4q8SBUVPB94sXWrDK2PnlDjIHjuoq/BRza8GHPnrnfVU4cJx7zUN2vhlf +7Hmy8J8aB3ZOOYpNOTcT57Xm8x10Dvg7dm10m2rCqQbn04UMDqxN2fFl6nwT +1qZtSd3C5sC1/SUfH3U14oWHBhm+mziQY/ssPvdMIzIvjD0Q1eTA3bCpqVXt +DfjpzdYBG20ObCWvvce1bcBV0/XXE3U48DXs5b6+/t/ocfKdI1uPA5oRgfZq +p39jR2920EN9DhyQMdToGazH3Z1tofKGHFBbw+2VP1uPsj+vKbwx4sDt3DmL +W711GFaXbrdgzIGr3zXVwakOxbZocQJ3EXhcjPqRPFqL0fIPn/BMOUC7fDb0 ++vVanBMX43u+j+CH//n2dbwapM9d7VI7wAHFpTPb527UYHvqka7gQxzYeMHD +XFC4BtUUj4VpHuFAbdWbpwy/avy5xoeZeJQDEsqbBtykqnGeejhfwYoDZuDo +0xpdhcWLur7kHeeA6o6G8mhqFd4OFVyke5IDOyLqws9mV6K9wyLNUTsO3J9o +kP2+rRJPiv76/PwMB4TWsqKOlVWgtAZVcPV5DjQt78sqsqlA++yRkWJ7DrTf +1oynN5Zjv/8d+0OOHDC3Sm4zXVSOCuFCsbWXOPBarNHyGaMMl2dqUAJcOCC2 +S99KY28pHrhh/l7+GgcKjr/KEvQsQeOIm/OdroQe7qcz4iJ+oYi/pHGkGwd+ +veH6FhUWI50kNsf0JK7/FYr8svATN+wWIs/f5gDLftsaBcpPfJ85Y//SmwOH +9SxObjxZhC/2N6S73ONA+lelh+qPfuCiPW9+bvPjwFK5uOVfcwoxMrzxULc/ +B5Y922tyU6AQV/kaPM8LIPiN5l2a0vyOD+O1BS4HcWCJe539OY8CrCk/EyD1 +jAM/1lefmfmZj66yM5e4zwm+RfymuCL5CEFVdXEvONAZq3PmzuE85L9VKRn6 +iojvUM7XNS9ysSnhfbD7aw4MxmcEqXXmIMdvYJz1lgOuxpdNdmzJwWv+irnK +MRzocvRqcV2BeIKltKL/HQeepq6yWPjxFd1KS7iv33Mg2Wd6+MaDbLwkfmcg +OIFDzPc2KsbaWeg3XZBxLIkDJ419SK+FMtGr5OMym+T/47ky79XXdNyStKaT +k8YBqwuJra8ep6HeRp+5vxkEP2lvPG9cSkUJNx0Zwa+E/5Smigy9FLzp90uo +ETkg73yFU0tJxpaAlydH8zhwpsWjOJf/Cw6ZLBWf/c6Bl9skjU/3JqFkcVtD +RREHLk9rD8b2JOL5ss2P+n5xgDJnwaf76RPmhS9ZWlbGgWCh17fI+R9xSfPT +9bGVHHA+IewoMvIeyd3KATk1HPggtsBdzxePKw6uVIqt50D/WEZ1iP47NBJa +dbKskdiPchN+2zvE4KqMr/T+FsL+9mFU5HMU2syLuVS0caBoZ6M1beNb3ND/ +6ROvkwM1LMuDJXcicfocT3i4hwMdfiOt98VfYy/foacbBojnKar51FWv0Dnk +k+quYQK/oRfV5K0v0EXSV09vjMhnboqxLf056run57lMciDsa5BL8tpnuOjM +yktXZjgwGRGQp1kVhOVLZe6cnSX0cjQv4G5iINKM/1Be/eXAkOSW50XCD7HV +9e6psH8csKOCTPeW+3g55bFpy6JNEI+yDlfO3kWJjjjzFYs3gbTkC9OzE7eR +9aD3tLjoJgAnCN3Ac0fqOXml3WKb4LJmaOmL9dfRfsPUy4PLNwHr+nBfuY4z +qmQbv9wjuQnOl9quaR1xwPfBcZv9Vm+CoNSTn/MbT6Fpi4NEgAzhfws3UKjT +Gt16tznVym2C+6J3t5hdO4BinwoLutZuAkuu3NI3u43w2oMqye71m4DsNKTC +beHgPhOLdyTlTfDqVMefqbBV+NZ/8YUTlE2gki/795Lz263/A+0YScc= + "]]}, + Annotation[#, "Charting`Private`Tag$10315#3"]& ]}, {}}, + Axes->{False, False}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Frame->{{True, True}, {True, True}}, + FrameLabel->{{None, None}, {None, None}}, + FrameStyle->GrayLevel[ + Rational[187, 256]], + FrameTicks->{{{{-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}}, {{-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}}}, {{{ + NCache[-Pi, -3.141592653589793], + FormBox[ + RowBox[{"-", "\[Pi]"}], TraditionalForm]}, {-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}, { + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}}, {{ + NCache[-Pi, -3.141592653589793], + FormBox[ + RowBox[{"-", "\[Pi]"}], TraditionalForm]}, {-1, + FormBox[ + RowBox[{"-", "1"}], TraditionalForm]}, {0, + FormBox["0", TraditionalForm]}, {1, + FormBox["1", TraditionalForm]}, { + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}}}}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->{{15., 16.000000000000227`}, {17.000000000000057`, + 15.999999999999986`}}, + ImageSize->Full, + Method->{ + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, + "ScalingFunctions" -> None}, + PlotRange->{{0., 21.157721222971883`}, {-1.643941668996888, + 2.4672538488314136`*^-6}}, + PlotRangeClipping->True, + PlotRangePadding->{{ + Scaled[0.05], + Scaled[0.05]}, { + Scaled[0.05], + Scaled[0.05]}}, + Ticks->{{{ + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}}, {{ + NCache[Pi, 3.141592653589793], + FormBox["\[Pi]", TraditionalForm]}}}], {869.925, -297.6}, + ImageScaled[{0.5, 0.5}], {1657, 159}]}, {}}, + ImageSize->Full, + PlotRangePadding->{6, 5}]], "Output", + TextAlignment->Center, + FontFamily->"Go Noto Africa Middle East", + FontSize->10, + CellLabel-> + "9/3/24 16:54:40 \ +Out[18]=",ExpressionUUID->"67e171c7-4acb-4daa-8770-2b3e45b48702"] +}, Open ]] +}, +WindowToolbars->"EditBar", +WindowSize->{1680, 984}, +WindowMargins->{{-4, Automatic}, {Automatic, -4}}, +FrontEndVersion->"12.2 for Microsoft Windows (64-bit) (December 12, 2020)", +StyleDefinitions->Notebook[{ + Cell[ + StyleData[All], TextAlignment -> Center, FontFamily -> + "Go Noto Africa Middle East", FontSize -> 10, FontWeight -> "Normal", + FontSlant -> "Plain", FontTracking -> "Plain", + FontVariations -> {"StrikeThrough" -> False, "Underline" -> False}]}, + WindowSize -> {785, 884}, WindowMargins -> {{0, Automatic}, {Automatic, 0}}, + Visible -> False, FrontEndVersion -> + "12.2 for Microsoft Windows (64-bit) (December 12, 2020)", StyleDefinitions -> + "PrivateStylesheetFormatting.nb"], +ExpressionUUID->"65a5b70f-6c5a-43f7-b990-ffdb13a9d3de" +] +(* End of Notebook Content *) + +(* Internal cache information *) +(*CellTagsOutline +CellTagsIndex->{} +*) +(*CellTagsIndex +CellTagsIndex->{} +*) +(*NotebookFileOutline +Notebook[{ +Cell[CellGroupData[{ +Cell[580, 22, 22603, 457, 622, "Input",ExpressionUUID->"e1d2173c-3d88-4c33-b117-53151b3c38ff"], +Cell[23186, 481, 332, 10, 45, "Output",ExpressionUUID->"8b277e6e-7952-4d02-a55b-d4a084a68343"] +}, Open ]], +Cell[CellGroupData[{ +Cell[23555, 496, 35051, 604, 936, "Input",ExpressionUUID->"6301d941-6fb1-4b33-81e7-068020296ac1"], +Cell[58609, 1102, 330, 10, 46, "Output",ExpressionUUID->"f1a6ab68-c434-416d-b01a-19cb869b7af1"] +}, Open ]], +Cell[CellGroupData[{ +Cell[58976, 1117, 13082, 318, 290, "Input",ExpressionUUID->"d6172bda-ed47-4c13-8cee-48eebc6a0241"], +Cell[72061, 1437, 267926, 4497, 364, "Output",ExpressionUUID->"67e171c7-4acb-4daa-8770-2b3e45b48702"] +}, Open ]] +} +] +*) +